From d17ee45f2ef7c91a47434c9fc9d55f92c8160e3a Mon Sep 17 00:00:00 2001 From: Tomas Mudrunka Date: Thu, 25 Sep 2014 09:16:30 +0200 Subject: [PATCH] Merge of latest projects --- electronics/.gitignore | 1 + .../batteries/low-voltage-shutdown-12V.png | Bin 0 -> 17319 bytes .../batteries/low-voltage-shutdown.circuit | 15 + .../batteries/low-voltage-shutdown2.circuit | 29 ++ .../class_d_discrete/classdpaamp.circuit | 32 ++ electronics/class_d_discrete/classdpaamp.jpg | Bin 0 -> 189434 bytes .../converters/constant-current-buck.png | Bin 0 -> 23350 bytes .../converters/current_limit_smps.circuit | 32 ++ electronics/converters/joule_thief.circuit | 32 ++ electronics/converters/smps-guide.circuit | 37 ++ electronics/converters/smps_current_limit.png | Bin 0 -> 13838 bytes .../desulphator/desulfator-half-bridge.png | Bin 0 -> 4751 bytes .../desulphator/desulfator-spikes-scope.png | Bin 0 -> 4350 bytes electronics/desulphator/desulfator-spikes.png | Bin 0 -> 6675 bytes electronics/desulphator/desulfator.circuit | 21 + electronics/desulphator/desulfator.png | Bin 0 -> 3171 bytes electronics/desulphator/desulfator2.circuit | 28 ++ electronics/{ => old}/1bit-adc.png | Bin electronics/{ => old}/1bit-adc.sch | 0 .../old/555_temperature_controller.circuit | 40 ++ .../old/555_voltage_comparator.circuit | 25 ++ electronics/{ => old}/apc-0.1b.png | Bin electronics/{ => old}/apc.pcb | 0 electronics/{ => old}/apc.png | Bin .../darlington_amp.png} | Bin .../darlington_amp.sch} | 0 electronics/{ => old}/devastator.sch | 0 .../passive-gigabit-PoE.png} | Bin .../passive-gigabit-PoE.sch} | 0 electronics/{ => old}/stripboard.pcb | 0 electronics/smd_blinker/blinker-fill.png | Bin 0 -> 3712 bytes .../blinker_etch_copper_bottom.pdf | Bin 0 -> 4312 bytes .../blinker_etch_copper_bottom.svg | 396 +++++++++++++++++ .../blinker_etch_copper_bottom_mirror.pdf | Bin 0 -> 4359 bytes .../blinker_etch_copper_bottom_mirror.svg | 398 ++++++++++++++++++ .../blinker-pcb/blinker_etch_copper_top.pdf | Bin 0 -> 18307 bytes .../blinker_etch_copper_top_mirror.pdf | Bin 0 -> 17564 bytes .../blinker-pcb/blinker_etch_mask_bottom.pdf | Bin 0 -> 2216 bytes .../blinker-pcb/blinker_etch_mask_bottom.svg | 144 +++++++ .../blinker_etch_mask_bottom_mirror.pdf | Bin 0 -> 2223 bytes .../blinker_etch_mask_bottom_mirror.svg | 146 +++++++ .../blinker-pcb/blinker_etch_mask_top.pdf | Bin 0 -> 1716 bytes .../blinker_etch_mask_top_mirror.pdf | Bin 0 -> 1722 bytes .../blinker_etch_paste_mask_bottom.pdf | Bin 0 -> 1957 bytes .../blinker_etch_paste_mask_bottom.svg | 144 +++++++ .../blinker_etch_paste_mask_bottom_mirror.pdf | Bin 0 -> 1977 bytes .../blinker_etch_paste_mask_bottom_mirror.svg | 146 +++++++ .../blinker_etch_paste_mask_top.pdf | Bin 0 -> 1258 bytes .../blinker_etch_paste_mask_top_mirror.pdf | Bin 0 -> 1258 bytes .../blinker-pcb/blinker_etch_silk_bottom.pdf | Bin 0 -> 2960 bytes .../blinker-pcb/blinker_etch_silk_bottom.svg | 282 +++++++++++++ .../blinker_etch_silk_bottom_mirror.pdf | Bin 0 -> 2962 bytes .../blinker_etch_silk_bottom_mirror.svg | 284 +++++++++++++ .../blinker-pcb/blinker_etch_silk_top.pdf | Bin 0 -> 1258 bytes .../blinker-pcb/blinker_etch_silk_top.svg | 0 .../blinker_etch_silk_top_mirror.pdf | Bin 0 -> 1258 bytes .../blinker_etch_silk_top_mirror.svg | 0 electronics/smd_blinker/blinker-pcb/pcb.png | Bin 0 -> 2228 bytes electronics/smd_blinker/blinker.fzz | Bin 0 -> 24171 bytes .../smd_blinker/smd_led_flasher_pcb.png | Bin 0 -> 4872 bytes .../smd_blinker/smd_led_flasher_schem.png | Bin 0 -> 29552 bytes electronics/zdroj-soucastky.txt | 7 - 62 files changed, 2232 insertions(+), 7 deletions(-) create mode 100644 electronics/batteries/low-voltage-shutdown-12V.png create mode 100644 electronics/batteries/low-voltage-shutdown.circuit create mode 100644 electronics/batteries/low-voltage-shutdown2.circuit create mode 100644 electronics/class_d_discrete/classdpaamp.circuit create mode 100644 electronics/class_d_discrete/classdpaamp.jpg create mode 100644 electronics/converters/constant-current-buck.png create mode 100644 electronics/converters/current_limit_smps.circuit create mode 100644 electronics/converters/joule_thief.circuit create mode 100644 electronics/converters/smps-guide.circuit create mode 100644 electronics/converters/smps_current_limit.png create mode 100644 electronics/desulphator/desulfator-half-bridge.png create mode 100644 electronics/desulphator/desulfator-spikes-scope.png create mode 100644 electronics/desulphator/desulfator-spikes.png create mode 100644 electronics/desulphator/desulfator.circuit create mode 100644 electronics/desulphator/desulfator.png create mode 100644 electronics/desulphator/desulfator2.circuit rename electronics/{ => old}/1bit-adc.png (100%) rename electronics/{ => old}/1bit-adc.sch (100%) create mode 100644 electronics/old/555_temperature_controller.circuit create mode 100644 electronics/old/555_voltage_comparator.circuit rename electronics/{ => old}/apc-0.1b.png (100%) rename electronics/{ => old}/apc.pcb (100%) rename electronics/{ => old}/apc.png (100%) rename electronics/{darlington_op_amp.png => old/darlington_amp.png} (100%) rename electronics/{darlington_op_amp.sch => old/darlington_amp.sch} (100%) rename electronics/{ => old}/devastator.sch (100%) rename electronics/{passive-gigabit-POE.png => old/passive-gigabit-PoE.png} (100%) rename electronics/{passive-gigabit-POE.sch => old/passive-gigabit-PoE.sch} (100%) rename electronics/{ => old}/stripboard.pcb (100%) create mode 100644 electronics/smd_blinker/blinker-fill.png create mode 100644 electronics/smd_blinker/blinker-pcb/blinker_etch_copper_bottom.pdf create mode 100644 electronics/smd_blinker/blinker-pcb/blinker_etch_copper_bottom.svg create mode 100644 electronics/smd_blinker/blinker-pcb/blinker_etch_copper_bottom_mirror.pdf create mode 100644 electronics/smd_blinker/blinker-pcb/blinker_etch_copper_bottom_mirror.svg create mode 100644 electronics/smd_blinker/blinker-pcb/blinker_etch_copper_top.pdf create mode 100644 electronics/smd_blinker/blinker-pcb/blinker_etch_copper_top_mirror.pdf create mode 100644 electronics/smd_blinker/blinker-pcb/blinker_etch_mask_bottom.pdf create mode 100644 electronics/smd_blinker/blinker-pcb/blinker_etch_mask_bottom.svg create mode 100644 electronics/smd_blinker/blinker-pcb/blinker_etch_mask_bottom_mirror.pdf create mode 100644 electronics/smd_blinker/blinker-pcb/blinker_etch_mask_bottom_mirror.svg create mode 100644 electronics/smd_blinker/blinker-pcb/blinker_etch_mask_top.pdf create mode 100644 electronics/smd_blinker/blinker-pcb/blinker_etch_mask_top_mirror.pdf create mode 100644 electronics/smd_blinker/blinker-pcb/blinker_etch_paste_mask_bottom.pdf create mode 100644 electronics/smd_blinker/blinker-pcb/blinker_etch_paste_mask_bottom.svg create mode 100644 electronics/smd_blinker/blinker-pcb/blinker_etch_paste_mask_bottom_mirror.pdf create mode 100644 electronics/smd_blinker/blinker-pcb/blinker_etch_paste_mask_bottom_mirror.svg create mode 100644 electronics/smd_blinker/blinker-pcb/blinker_etch_paste_mask_top.pdf create mode 100644 electronics/smd_blinker/blinker-pcb/blinker_etch_paste_mask_top_mirror.pdf create mode 100644 electronics/smd_blinker/blinker-pcb/blinker_etch_silk_bottom.pdf create mode 100644 electronics/smd_blinker/blinker-pcb/blinker_etch_silk_bottom.svg create mode 100644 electronics/smd_blinker/blinker-pcb/blinker_etch_silk_bottom_mirror.pdf create mode 100644 electronics/smd_blinker/blinker-pcb/blinker_etch_silk_bottom_mirror.svg create mode 100644 electronics/smd_blinker/blinker-pcb/blinker_etch_silk_top.pdf create mode 100644 electronics/smd_blinker/blinker-pcb/blinker_etch_silk_top.svg create mode 100644 electronics/smd_blinker/blinker-pcb/blinker_etch_silk_top_mirror.pdf create mode 100644 electronics/smd_blinker/blinker-pcb/blinker_etch_silk_top_mirror.svg create mode 100644 electronics/smd_blinker/blinker-pcb/pcb.png create mode 100644 electronics/smd_blinker/blinker.fzz create mode 100644 electronics/smd_blinker/smd_led_flasher_pcb.png create mode 100644 electronics/smd_blinker/smd_led_flasher_schem.png delete mode 100644 electronics/zdroj-soucastky.txt diff --git a/electronics/.gitignore b/electronics/.gitignore index b85cea1..4616b86 100644 --- a/electronics/.gitignore +++ b/electronics/.gitignore @@ -4,6 +4,7 @@ _* *.backup *.ps *.eps +*.wav *~ *- *# diff --git a/electronics/batteries/low-voltage-shutdown-12V.png b/electronics/batteries/low-voltage-shutdown-12V.png new file mode 100644 index 0000000000000000000000000000000000000000..7f065a52d1fa0460c9aea14a46e073489a31a66a GIT binary patch literal 17319 zcmdtKbySpLxGy?1N(m?+sf38MbQ_2?0@4j4(hWlnAp!y-Aq}Ha(%l_`NO!}~4MRu` zao^Fs@7epFd)7MlzkBbjg)WD0zInelp6B_+W9Td8mqa(IZ$cmtA~{)UH3$R~34ve; z;^TsM@UF9z!C%qr(Yiby~I<(d&U|A0czhk1IF5(KCLt*#m?C&8Bp)<-=yqkE4(}(Rd>j- z_S$r0_vB%$oWfGed!<$U1@k+m8h;3W6jdBq+?(UAlTL}d(VsutK91?Chevk)`PI{4 zc*2p`uYXu0RfR((jeapRO>FG?g`i*jX_!z_@QNb*4l(@uyTFHjl<0T5?%hPZK)>@i z^|$r*@9F0O|OkDu`x34X!^ims0LKo@geub{e)evH#jTJ3So(! zzU^#6D{Q-=AtADxBPVB7vo5tf zdm5*yC-WnvSv%8J{oxE!bEn>t&XeZxiKV5Fu@Wc^p)Dys$CDj(#>o2o1GTI>> z!q1Ub=oja^k8rhVeoXFyboPlD8}X(S1pDCv=kcP)RG`G<2d<6XlyVCr-!qmci~``3 z*naGV)d8G(mACM*p@+RfIX`~)7-)+(yH-+?6#CbKL>H2bSb;h}0$?JM_WMt%V37fCHhk_U0)azk_;-CV8 zjGky{ojJPfHLoZO1QjUQ_1tI^J&QHw(WJ^z3cx z`x};fbxBD{x>DYTyu_8305(FsQ$ z@wCi66;9gyq~P@>J|6G0?6;g+DfeA{&3x^adXK}0j~?Zg^euPA=+r}bhqIIvY%S0e z!uFpNf~#x5wB705;XYvDjpr?(VrsC>dz@MM?K#bQmF`$Mn|f9PSjFTg_l&`)?H(v4 zy=lH{S;Q$MB;-i8RczAMvpZYY3(g_@*zA?Mx_Wnc)+t*>@5lMi!bX`Se#XJZNnS&^ zD*;74YvH*x_dckH@JqJZjZuH+mI*Bged^&^_XupJoOJM^*k?>#CO?zuuGxo@oR&BZ z4#7BwWSNi^>ojk$4w~t0muhE%T>XPar@NemFam>cMEXou6#{k4Lb$@nyu@(tu+Hbp zrn_Ry$M2t5?jb^(j?0g|Nl8Wg7qdr(@ay@NMA|hw@UM9k*N<+sq&;no59VbjTs5xP z3ov+%XQ&%2F{OO5Xcj5CZ=`MA4+5^7kdLTb_v>fdqgEI`Pd_7%bTJxmH2s5of+8#u zJMYfE_6*>U*>ciaKV;AA?4URf~{C+vwA3bxmF&QQM!D@X0w9x@@L^a%*84(ME#r^uaUHL2GOgV z5&TLTcBoRqv^iw%-7;Qi4cgd^4v|gHQh8umub(X%yoN(^ zd`D2z|BGxSFWw>s3hb(Yd*7b!`(2J|H>Af8XRBCjjTO9p{kquq(z7G{uJh2w+1XjX zZpFv8V3IPc(MJb|hw3hfAX(;Q~G@&XDA3?`#n8u%lb2m z- z;5DYDoYpw6m74y%x!RiycByLMuvU>_@5R|+S9iDB)x}x9VS^~I{lRh*F1EFlx6XuLq1n zm6?}%(t1`PZMVk-mUK>c9F})N-J#@>@V&47r^K`jJ}17L;igmMw$Ibb>%D+1}Pvx>iVod>+9EhC3MY%G=e?ba}Cq_u**N5mv7|CI;Z=%#a{Y^8hLFi z?8NqJDcB98Sj&>rnzrpcuhgeq$gDX-fCJ`y0!M@wJVvmTtSR>+43`C z&&v#L;?v*Wokhpi_a^wXms%AIM2({5_uxHQYut4kXDXbj?T;cTi?HCb5upp%g1kI< zRtA;=U6j^jIqEnGYJp$&mMs(2)cLCIcFsUNwfss8mHYH|#Gg_Qw`|Ohz(Li**YjUc z6Tm?ZL0{gV5R-*^CQOu4y)BZ{w@WKjo|Nr>Ow!mOSua)(O*=M@tRlA*U@W+QZpy!p z^Z0x*w1QsG3mw98+3*@ERvZLWD@2iFI22z8(LTxRijD;=fPLHLF;QZ@kDm$%b z0UpoJHLd&OGX}v_Y@BtYXF>tPo6{4c^3@J|MH*RuHZQG85$~<-JMYsiw=LKQw^)^& zmS9_6N)^Z6ayJ`bkI@sDwI)^JLYBv@W#Ed)djt(BkNbeRHI@d-p6F-4{n63%zo$o} zu}Wo0ZHIzlNAtEod)!Za6%sK^{F@;lECg{io|;~rk2gKwq8^(ux9aZgH6Q%`Vtb+_ z(3s~}9H(9aubE6)Rh{FKOh*Kb)>^&eQb&nN+XtBwrKzfN%5-}LB}&G3UtL=!Viqaw z9v#y+H7ktOnIZ%Ur!HqHRrj-={)#?l7;JPe8xOi zsA=AQtjNfo-fNqe&$t<~^vAOoxzN_jUQ|D{y>oTiDJ95Vk9?MT2uAZ3oQL@1&d22N z>*KLlETb@|YGpnmD`sbk-czSy;<29RQyUuyJu z-Nw(ZK^K&4JkG0%zL#4@tggu~KhZ8^#v3kf<`ot4`t+rU)SoVf**=EGkElbqBgPX% zk=!0gI;6kQZ0a3SQcHtuxUVP{E+JwmQYx#oG+IUKGIrXojwrHo`1_9J@r0SabFY9v zmI3%v{OWXxbE>eM;U*%}^7sA9$1|Uv9sS5u(}Na$CR0huoNuY8yCGPjFsl`@3e(+ zUB{i=(~(C1=UlPy;rWgi8hE3o=RpC<%v+!WmjtDq|6GHYkXIc<>S{moY^Zp$J(KQ$GmIBLR&D6S@>N^Z|D?5lOpVDT2CFM#NP{6i8inc03}}N zJ_Erej;Md0#=gGAzO}Qq|3$mHeRxm@F4DvlwRJ`{ zlFR`#%8AjTd8KnlbPrrA9W44GoZ^a3@o3XVPb? zvujfQ8k#W)6q}8I2G4ME^gR6^MdBCB-D+8}jKANd{Co4=pqW=>`z00c_XqOfRI6X_ zAH?G?NWBlOr)i-bTQQf`P5z6tEn{<|+_ZXv*p~yS+Mn@0i@L>2&Ln?3ee3zha#4fO z3JL?ceDl)F{qEx>O3ND!uqna3vY-<^!;6?pXw$||E{9FygsF2$mdTeCDQ#azuL z@sXsb))4g^9wwUg%Vgb~2sAjSc)J7PLj{I18lr=10^ZMq!42oH09*MwJXrvI^^$F_YWMf>-wET{Rqd z*Z5MqhITe)W336ofy3Cp<<=}^rl}83u#uSJ%DH?* zV;HmlznS}-7ZWyPzdU4aL+SKk4Y9G&(#l8?K3aWXl`k&ybN-R=MQ7XVivmpYcMXaL zW`uE>-Hr0cCBw5A=>0amO`E)s_rZC!m9MKw7@ks3tIx~I{XmyK^Wu+_+gx!BXL?of zl9<>;oiTUkoQS49Qqplzy3%$UUE~5BtC%88>bEhRUFN!_`RLIj?IvFdvyO0#ds0$x zjXX`qQ>2Mzf$j@mUtcR?{fr2;zhFATqWO;N0+Gi)jyLqVp|~oE-IDT1sQs_SpJ9no zFi8&&j|)`OwOG^Tjy(peADq_=ced7bsic$MY-1=BGUIbHYcp4$*xLH6(q^*t`-?E$ z9i;SQf3o%V+0{mDbTmg{m4v@=fPD_s zBQ8DZZJ@nC-WxPNdcWfXqMu(3Ce|x8HO%HaTKZAitGz<=Z(0IyAmKDZpGcWxUKtwp zU7pPM*Sc<5+1P`WCXU)JoAKN#5dSlxUT{DaQqJ<1Jj!@Fn664(AkzL#AQ)2YBbeI1 zrFh~vyWwqTffVcZ5cOF9Q($;oUmJmagqP}fmFM2LhWs7ixRVXa zEIp*+im+Ct8~*PhdH0iDZR&7Dz#xu`NH)RMuCIPa5M4>CC{mls47&1L>-01|vgYc1 z%VQ}*h)T?jgG3w&u}E>mg`l(CWU2X#+qCWNJ9jYp@GnD1nI5vSeFFhKU$5$ux{Aad zGr|RjgdFwkgWv2$8As50FeB6_+_mf9V|SYh22ny4u~_Jc&iU5FgoZ|CBv5L(li_IT zhF7tfU|dcj4ICh9T9yBfsL5Kynm0%D$VCnh*ZM8~Y>tL{#IUNMBf4U;U_k|mYDO=} zryy|gCw8xWAOCE4gmRPeOq+~J*%hH8yi=);H`m(QPS_Iol-Ne1IeyQ#>#6`1ip|)Q zEEE|`?-}BId0t{Y&b8W?O3)0FC~GWMkHA2sd z8qXia>pR`xZn}63u#)%%vW?CAw)D_*HvWor*029{t}Um<=_HP5XJQ(eP(z13X)fzy z7=GHnYItJ0*IJE*U5;+yRni;xi+N2nnM(~0gtC@Y;>mx@kpr;>0BG<;DY4UgtDxN8 z2+uVOA!DJ4AT2X{|LMcSY0Zw^6F2qBf^dfy!n3n?EKksUl&t0(5v2Xbk!D-Xsf*(9&gV`)s%xT|Tk zgZ^J@<%cRv*WunywX4P^3tQ{ydmcV_y?2cvpXfz@@%)i)+^OPxi_%0_0(mi{YH zD-ir?wv z9wOWDync;i`t22d)wB{bnaR#nh4{fQCR$OKhnYTIZ{~;%J>hf7{_|9_druoS62^H| z@#X|Ohpp)wHdI?%dirCuAVx8g*J=u`XmZ!DZnriJ)G@}>f`BKxuMp2=K9nJ+9d}Sm z)7IK*I|D+J_fv)|KL-0>VRRBD#PuQN;ZA=)C}2GX=onnzqjUyd5Cpv?8O9CV>D058 z%>mj60fA}Oz(!r1p5zkucq#vzg{tt^&og~B+S;@gk)}MxB9vC5n!e%DbS&NngRHT) z$-`(6zJuxbha^~jd zwRMRUzD@8(taGOh5sOz)-TQQ6?(MCN)Sm)gFur);z|ovW=QYu2Ddr_P`oO-p}@C{nYY z*qcM9{nIN|)t24Vx--;jQ<>MAT>!?)ldy8ZzYM4=Pe-xSiu_obxMmRo)QTDnn_FX7$C@4-19 z%u#3M=$5Cg>s)Gi{GrZwpWyr8yCkpa^G$*Y>+U#+Asm*I32CHgfapD#W@xgJ@{Njn zw}m0@H@)+AwI{JBkqe8CjwTMBji<~>9`UA4iSNi%r3|B}zde8Oy z7ygh&<5w+pvN!)q43^)AhSXO3;YCyX(T?6<8r$D_G|U*QRP5KU$|+@Mbp0in`G>)J zB#1aa=#J-RB@1P?>XbTLjv=HK=9!(1xvg7nj1fk`A?q%r+y1-CVRo}sZ~JH2NT7s_ ziK(9JkcCXwJ_xt0QYIz2ZWk5fhTUMuxSxN}!Dd~@2fsZJzWqln!(*FQFl|j78rD#4 z?`*cRMVV2lY*c_QGQWZkF-3|$yLYR3#fjJa_ic2z09rum?r!KvEI}d6bzv6q^Dqey zRaf~j%;K{q5n_wFW&8&KuH{-96-!0+2l8{iC|j@!-`y^-NW`B!efqSv?jfe1eKyHS zpk4lbYTJJ4^FjbRY~=%4Cs?Lym+edI>r=$`>dRzyP5~I)@8Rozqp<_EY;&Ti(*8Xk zIrNa|Q}Z?9=}lqC1vOGL`9uJ5vhM-djVF<(;_5p^B32`smfNWB3RjQC*>-}^QbaAviPd<0&+ zctJ=fTK`CX-{pk%AwdKcxevrym@PWXU_~7HL#ZOeH~cz3XDe6s0T3 zkX1=OFM4yQ_F-3t@UzK&-;PNOcV*;dUVIiw}(96;4N9`^R|AN5>~j?{w_A2?;An zOP8uUAI{T!pW0^}q9u~~QG~UJMW6E3)1<;<972LfQLLprWf5>5-^0DabXhnJ&2v>k z-RbN4!MzaP4#S+83+a35uj1&7^X?J6zw`6`=fc+)ha0nXx{Li1`%P6Mu;bm??(;ue zXdS2JtMW2f@#WlHx~8|i)P+q~o-z^CU%={u8hN+j#86&AVR%-F)be@m&9*lgcbbQw z*5S&jqK;!a7LL0$Yte9cq`8hXBdIvOO2Zb|o-=kr9aN3yo9u$NQ|+Mi76$6cW8!bK zIk}X{1r`MssQs`v-*cls7YO{Gdp&C1S*Op{y5Jx)^2rE<3W(6WvkI0%rHbv&>DE@H zWcE~Wcn@ibKLf_4zj=hd1)|x%Cvj_Jp$h-_tEE}ayhF+d!R`9UXTImG;*xqp}IqimA-8wdlA zBwm(tJ@G}?(cU~fkuTEde52VP3&>no@4q(G0!|1piT!9c5q}l1AacIGk`TVPJ&2mM zw57v-Df@*%P!f$~fJJk9OqA9>MWG{Hb3j8~Vsm|UnJ8dQVOJ%#&$kS_K7o}1N zTnpzgQu6$vLLA5a+cy{Xp$Xt3@I>}4QRo|~R4lzv?t|!w>@$9&K=QJ#hQf*JF=<1q zv>ZNWzm5-9UqOZH?h(P|6bj$>8>#5r*k3vU@ zFy|mw;m)K3!~UbfIC{A+ow^<5(~Ul;yQ~l*M3gy-O-HR*lPyMh8wyboqjp%QpwNE| zicx7~T8~S7ztr```+JRmx|W-)1X3iRe#>HtBVLCpcJfE6N|R( z+{0?bt0%O<>%*~A9I7Nj@*&s}5Ttd=!6H!&rS>c9Da=ol6!}hgNSc(JA2aul?g6ZlxD|4)jRZl&HOAnv%XS;U-*fG2d&(6w;%3D^4K_ZMDwxYjU{O3TKKnO$6rD zCd=rQIYbI9#!Ui(P?>Zfdwf`2TojG(?&`YF%9=fiV&md^3u;6lcY`aw$TI=9O;tne z3gDCFovQf<)DaIrMm-vMezY~t&2pK*Z>g3#yw(qgM_*>^fw6iCv$4cDI<>$tK2^5* z7IvORzrvh>^jNlw@}^jmYDBcoW6yMk1}S^CSLsd1?|W#xUA(A88VR56i7ifd=T*#g zIZfy#EzNxn&wu9p7!(x%9GOlFc7CE;N_C&hajpSt>a0!@zsfl+rd+lRMgNABDAmu{Rk;pj&=6Qz+j$P^eYw~IUfxSOxb zny4AypF2B;ab#&+r;*ko7ga-MapWscH!XQ<-f*Yvz6!>%RvnD$)T3Uf**GOOiP36x z3cf9@i#j@R6T+18JbLHkTTl4#eoloQLofCgGWw1%=&=eR#|Z4c>(|zkwaPs<+g(XmRu} z5ttgiTS{68AZXsS^(WG73~~($l4!%lYB6jXJKIr`W)1_ISrGi{C|lp51t-+0i_Y_8 zwFQsi4Y*@Le737G*V=UgqhSqNeVuZY zQlYf2U~R3%gp}|+k`2$j-j9UkJKt@Z?{5z!cdXF|P$=YiF!6#@4cN_OzD-S&sKUmk z{6g6!EOGv#2hjj2e*~+P#2=ce5bp#|F4&J~*1525cku&_ova*^n8*9nVGeff6YdyI z6V98jT@o-^W|95odLwS9^ZHH~(Ok>j8!(26@R-f$o?-v0r3+mi;)kEA6daU|FEgf` z+!vQy?AzqBJ?KIpS^_3Uzy0k48hub?5i;P2(uzZi`^>o-j?@5V8hjTUZ|Kyga@9iO z>o>l&0(cISvxAl1sgssKJdlN|^|{dK2ON?lAKJSt!R;Y+Xi1utzCvrUUYGM8Zq|sf z$o^k(dsOOtj`ymnFhi7-)C4558K-W}+Y}TJWM;Jr^}EPb#G~SjV8J8|X$L-)EC8u? zx!KnXXg)U!s#t?zhua&c3N04Yplr8K%@sOCrZpf&8Xj5o_4RXSpkx;8ppraaPnZ7O z;BojCebPkMQrduMkxb_uA0Izl<7}ZU@n_^M!}H{Eob5ru!tNyuFaMQ#%)pUTPfKFz zo+K;Q5>3H+ORw+v~M_twj{L!8auoyS~!r29|Va))546Q{nM$@S! zJ#+4)b7%H_i}|a+-(oaZgI3Zz0Z?gCa5%{;v&2WPN_hn!0Z^H3=07x>76iOc+m0Lg z%^>XxD-F{*>!Jpkhqqfn>25p4Z&T+%(hU$z2}c;1O+o8RL2gQKqjR9Nwluc71-R4j z7!y;OvYWoo4!%KweS_#G>6J;sM4l4q79 zlvAziV>1R$FMWN1A_3B=%^Y+IsySHgxJ1QkioLS3G6TE51bkMZ>U7>ENp~p+00fe7 z5=hEk*q>p6fZI~6l*)rFX#KzyS#Kym08g)##CiabuHzF6yNCKLT z$v7V&EONlesME9K=DhMn3&^%wpn3_BNe3Er$-6;DNx(U|xT{DHaj-BU-RFIT!YH}X z1Z6(&e-W}Znf|m>si$jbX;`jKSr@GgzGRRvp{qi*@38=10!S_UP1mTVKgVTO{ORAO zkbt;g5fVCiPkLH=JiQzH+{Qc{Nz(RSnS^xvF0;1>RavX?mFkYi=9mK=6lV`WN@_s3 z0F2~)jha^>#FYTp3C)k)oO^*SJBWXw0y|gd=w9^4qld_1cL6CZ8;H!Yv9SYrT1C`M za}`B)nqnbnGFR%XONC0ri5UWT!zcIrI+siazCQK7I$H}hZ(HDr6hDw%bn`jhneLpn zZ|YqiOy4RXf*nsrxK+2LB5=bud(Tl<-6N3?p@lO~b;`}dNC?CyLM9DL(^qmPPqcFEH}0Ixm= zOm%9-Lf-giDeqGr*jcxcRlc4^M``0oa{jX%tvcN2;E{YHa(#oI52t;OG zhLr%!j0M~QkIVWi!1k=l6r2nxa1Vl*f(|*zp~5Dld;MG3!ioNvzFUSzR5g z*#WgJ4>+>`eazOmuYt0?cXJ8E5#=95_BxuW0?T~l;Eu$X}8K-B13fw1a&~IfyF|f;U{4A?()C8 z_4DV?-YHED+fz`P4P}O@3uYElA3y?eiR71?(54tb!I#OHIn8(96Bh|z^H5<7dT=r8 zpr-x~Fg713$w=h_0WD9#q1_CCAX)k@*pe#?!3>2bHy6=muoi~6FzdH8uiYA#?TIL0 z??NKmNXSE_lPU@}D;8R6Ua9Zo^8a7ULd$*LavixJSPXh}OyUCJYb5l)F!~w9OMvENVeWi}OdSCtPZ^Q~cq0)J z5q;=FP(7gZd*|kUe_^jVp0dV(0*ON<0S2=FP>I<~aFhVOWPcnV6i!+MzV8>XgLP;tYmxaAON(6SVo?T54FcX1_1B5yb zoznLpA~s&a3`1n|EZs50pEx@58hP*I0GZnOXnk-SlxCAT{HWudB|w*9ef|D@bwwJF zh`JpdZw%e?$ndcqQ6#9eG;b^p`y5z}{_?6t-DgxnY90vXB<&83v3OAJ~~dauf2>1I9^K>^%VU);_WqLQ=vMHRg>pt>4f=@9~nU;Dp|rqo1`i zie`+)c|B|(t@dqJMgct#z__r`5-+*c0U)Uv7I3ZMF#Cd8W6J!d(wD_WQ*bF+%Q5(*cBRc-0FK`s3*r&e z{sPn@xm2hm(5}(3t!v9gZ#-HQ`A7IZt8Zv90%5$^u01mZ{!e{hP=TY zb)k%Md3ORf;M0pz^X}@++&suyZcfYtCDX49yJEWA;mQDn!Z1+3p{b=CgCOko!d;6hek?WC8WQM%sR!N@?#$HxBrakPHNeP zUWBQD{2K_2PxKPM0cWDqtKK=^36gd6=Sr=-)~CF zCqm@qvCC>9<`m_A1yPb(5^!{*X9G=xLmLdkd1*zQ-h)H00s;ne!ENA5*8aZ{!?V?t zfE@=oOZm^a7;Ps&G(>aL4>>p#!)uH5Yob}xpaD~u644L&&3da*DLxm3;j)}iM^<`r;=xZfr|kxG&M=IArM z@;VU%cvsW9#i5OB7+=MkXrFCt=ZDC)UHHNlE6sIsH@Fz@0^bCGsE7^zHJjq8N*_<% zij*%|-qtkNwj?leJ!Bjb?-xG||IfQ~nqq!HZt^UQDnEgrM2cH{kePqMj0FNMDCdXT zZAqgPzoMo7(j>6BUHre@Zvj>L|1&=N>VGOYX_r1%Ji8+*3x4rF)$16!P-(k8Q2``} zl#a9tqkk;{%xq4gF2>05#*TrO!21+S$iejOnX=&C>8is?N+aNn1_FxPKSxRkzaBsJ z${Ns${Xo7Eh4N(Ip%|(GI>mIBbG9-ejd+4dAfB0@7`^xBR}W)%xh%w2{(8%0!>VWO z^zDS=%td;sAcRjYDw!i?{`Mne$bpI!3-!lrUB`m=p5&sPp96CMjgTZ$#a&yBTRCL@~VdUzoFqHJt{KfRowZcpBiq z99=0{W%2J*8HR>M@o*zp1Nje{=Y(WT+aRtA$V_0h7<-B(v;0$W8GB9rV-D@6m0xkQ zeIxzbMUkWR@b+R~F#TeA>$5(Q!=5FLw;XwICuI@HD5mY120d4VUgE!I3Xz;AJijUz zO)KwEVj&#sBI6^rr{n9DQz5_hQ5txXIR6~>vN7+ONGoB-cc-OLgpj6w_eK1M&E_iV z|Cpoh_Co}?Sm2*eh*9agC~_n7uh~ReS>6>fP4Um3?}+=Z*EFP{;d1QrwkR@7S3W|c z;OGtD7XdZ60C<-NdkrV_AjU2LF-QJaC?R-D$4)+04p*lV;viX|a zL4fBj6c36Ey(??s6EFI5os%FpqIA3vYd)3~8sGhbgF+!+3*~FTCbE>{6Y^x4#3ry{ReN+pcY-ToAxwuk6lehL4R|8hv znv2*MdU;B9H!Wi8Gp5dyV(2-u+kmXtO{8KM2nwllRTt@8ev%v*W2QWTYwye<9!ioOv@R0=tV+hjm;_BX~$gIE^ zau_QQ-9x+sKdjN!_z_*0F%~M1ov^@qN@^ivKoMgM($v!BZ(mSW6m0-Z^veG_R9v-h ziigKo`&wNb4_O_GVFvq~J&{7}>uyN}JCj+%pBFUsRj{38X!H563q&W=L&?QurzAZOSA5CTwNJTb8vZauYJ@ zpd#A!UjGL6^RmmjL%j{NQXUp}Z}#KpiXY)`x_^Xc{FeO~B8$>8qC1rp_P%IUR?5fX zj>!HOAuOXEm|Kql%Ym}!v#fO;^RKcMb>D0+ZV9|A2u0p-MP#1+M|9zgc`pjGBK5zs z_`p&QJ>q{W;H1_CZpn%EuuTQoFX%TfyQn$ec}5y#^Gy_K8ws{phCwx@HX8Iqz0S?W zviEz(5x2Yhh6o24Ek3s-Bxb*(*hek`<=QuPeI+|OM}%XD^=VPx18L;I#ZyX&94}L* zL@<3a@~zKoB(9Inuni6Q<*4C`xL*57IeE0-Nh|4uZASPy4-58ZCEj`M;;dS~(8XPd z{b7)dZqVpxt8*gW$%-9^nTb46RFibb#r@dwOmTW2`HpVulD(Mt^d;FjWFYa#AoP`VMgswMpbb0MTRlhKS9!$VCimz1 zQio^Sw!f1?<9(GB4QXV+@^&r$AhP9h#k&JsP)ok~5GcS$kuYkJf z{d8U1_AIw4i?mzFfc+(#;kqerAqUoC_ABkzuyC5G$`IKHIk{NZt-rs_ z9Io%1B)#KL>M%4X0ki!&ZX6I$3!zYC@P!M$OEb;E;>Vie*whp!CjWUvM#Tm8sl+RM zZw9D@9IBe?7hWSaF(O5FibZnI2f=4$s7s5GN7y&BvQF*>311eSv$|qAsCvV&Fi4b( z2|Ewx3aqMBD!rYLRac@Dr(DIEpUkY@fng>p zqWjSB43pY-ZI&1|SS_9rUy=IipD8!n1yo=j1m5!ImPKSjzPUe7crr~mF;dH6(QD~EX*{4_d^O=bnv18b?MhM zp5#`_$3|U-o;ckdH=KcQQg7 zj(tUem|%z`1q0cZef!}-7xkGP`&?KRjbE2=%SD?o#^v=ZzKE5eT+S_?NXV(&;1!Nclf4rFLzh6M@A1@Q8(f*KLRk80(#HBWaTx4wx zvAcpxuq~cEQ&MwuzGi5APoH9S9raS=DEm3B0W4Pg9^cF5>GUE8%-uIQ$floiB$GF* z{k{mZ35?!NQ9b$DOp;UsH(JO@smD(F;EcJwBd6b3v-R4YLD@X9Y6-R{zgP)eM!DYQ^_4s%(l{Y96iFekMZB_>W;mmOhi<8UPy(;(IXG;5lFms)R1jhq*@GI$z7iefRA(_mz=5`-uBk5t( z-!?v+lWzDF2X`qpf&T;YiYHizZnc>PPq=hLwj-iZ>ykgg<~&FBKW@w)T9=;8)mA%j zC??T`@}!^JHDr|~xyx#dSA5UuVe5iY@MM#f)XO=kXB-or7Ys%;$sp zzLb>LSEkv-^>>P}>`g%%%p(%V^|t5RUS15rlH$@w@7h>%l;M)8V!PFW!^&b21$tFZ zpcp#n6WbdRlK^&77KJ!uuf1;!pzPr1`V>sis6}$xsvBUIm(}T(-s{I_bT_fee!7az5)m-wRp**f==ebjNXW z=$8K&{iK4vR{=1pMopNcNubwcSwJaeNn9}B!mF(Tvd^K`iZ;e@Sl{zfk~adn5}Oo zzvhl=zLHSkUobWMN0Picu)Bd*Nqf(mBW2?4)2A5VtCxVD^P{9_YS`;&{i#l3**xe7 z^*r8A)OG`n1!%h}=oU>=N*1hGeSQxF%4kinIxoI|dx#R;Hk<$81A#h;yD$u;N~WI= z_{h3n%w9}PxcuIcjz6s9S-*HnMaX@LWMTBj|1Zwa3m`@*+Ef*8{5Q^*8!))>+E<|1 zGzEPUXd+ZPV^$q>LB!(-3Z{kN84UzWt#{HKn7-U0FFX_bZ|#&;4(GuB`5#{39=H@7 zRDZ0iN@?PWKf@^bY^TaKrKHitx(o13mWNDlWg&Vnl~Jpf%kU_bPCGi@xNn%O_H;|- z2&sTrTXwS(uR6AAO&R7}3;Uf6c*a0e3Ego8gPPm;-?e)d!2b69S^}t>)SkS=0-TcP z@Ok(J-$B<#G*(=Uyt=`CzwGFZT<1pooW3|>h3o-VJ;9}{d z7b3_ZMosYmp;5A#ye!Zw9U^-GngXaf$wG>c=HsGaGLqS;d&~7}F1;c3)=MT>x zpU$*EXeC@?8{pfSP#<0+-vgGYSIoT)XqaQk0D?ixd-m4t$?{-{80s=pe^fg# zxxvB^2SMy0GEX)d?w&^YAJLD{xTn#?I}WmB6U;WwMU*x93PK|1jvg+2Jy=Eut9i>i zZy*pXUiAM9@HcRM2@0C7-v3Y!#^T4&T^f>@pw20?fi|--asqR_Zu`>yfW5I)AGIe% z5Z2AEos_VkpQ@-I&Zc=d9aNvbFr>eEc?0f!bc5w53$@SRrv%0O5cB`SFX>v3sf5t> zlMp*D_8E3N_O1<<)n+aE2a91ZH=?ONah6mEOh&czAoRCb`M} zO03R(_W{*_TrPTW1!z7c5<~3m(#IMk;y&3&Lpf4!#YUUq<)#`Il`W?KAD*b_2PDb(Q`p*0$IN+h864ccm=zMLEY8$&P<7A+pF6j22mlY2Kt`2mJDVr@2Ma}_(SEm)ub)S zWKE)4mqVpQ@wo6c_Hxf}JrkfM*3d`}nSi6TG#a+u1PGsN*qXs0U%BA}$nxQhBjnYJ z(}s7ntBnu(O?w2T_q9^ce8m|39Bg(^XrDIz7zWQ$+9rV*LL=ODyY~|*g z-#zJL#eh_r3a%#J?R4~A_G~Eh6-0sN9NyI?B~-0ncKDKZ=TeETwLrh~tX-8Tyx*E3 zFS%e3+=>Z-0l61q|MyQnJc!>tOnL|;03Qm0;4}We^vz$aq%~1m>E-Q};D6eH$h}aO KE_`Mj@P7cwR?o}; literal 0 HcmV?d00001 diff --git a/electronics/batteries/low-voltage-shutdown.circuit b/electronics/batteries/low-voltage-shutdown.circuit new file mode 100644 index 0000000..92527bf --- /dev/null +++ b/electronics/batteries/low-voltage-shutdown.circuit @@ -0,0 +1,15 @@ +$ 1 5.0E-6 10.20027730826997 57 5.0 50 +v 208 288 208 128 0 3 40.0 6.0 6.0 0.0 0.5 +a 400 208 576 208 1 12.0 0.0 1000000.0 +w 208 288 288 288 0 +w 288 288 400 288 0 +w 576 208 592 208 0 +w 576 208 576 128 0 +174 288 128 576 176 0 100000.0 0.8960000000000001 hystereze +w 400 192 432 176 0 +w 288 192 208 128 0 +w 352 240 288 128 0 +w 288 192 400 224 0 +v 400 288 352 240 0 0 40.0 5.0 0.0 0.0 0.5 +o 0 32 0 34 20.0 9.765625E-5 0 -1 +o 4 32 0 35 20.0 9.765625E-5 0 -1 diff --git a/electronics/batteries/low-voltage-shutdown2.circuit b/electronics/batteries/low-voltage-shutdown2.circuit new file mode 100644 index 0000000..909dfeb --- /dev/null +++ b/electronics/batteries/low-voltage-shutdown2.circuit @@ -0,0 +1,29 @@ +$ 1 5.0E-6 0.42987889063095264 50 5.0 50 +v 144 320 144 80 0 3 40.0 2.0 12.0 0.0 0.5 +w 144 80 224 80 0 +w 144 320 224 320 0 +a 496 192 608 192 1 11.0 0.0 1000000.0 +w 560 112 608 112 0 +w 400 208 496 208 0 +r 608 112 608 192 0 820000.0 +r 288 112 496 112 0 82000.0 +w 496 176 496 112 0 +w 608 192 672 192 0 +M 672 192 672 160 0 2.5 +w 224 80 672 80 0 +O 672 80 672 128 1 +v 288 320 288 256 0 0 40.0 6.0 0.0 0.0 0.5 +w 224 320 288 320 0 +w 288 256 288 112 0 +w 496 112 560 112 0 +174 224 144 256 272 0 100000.0 0.39110000000000006 Resistance +w 256 208 400 208 0 +r 224 144 224 80 0 220000.0 +r 224 272 224 320 0 220000.0 +f 672 192 736 192 1 1.5 +w 736 176 736 80 0 +w 736 80 672 80 0 +162 736 208 736 320 1 2.1024259 1.0 0.0 0.0 +w 288 320 736 320 0 +o 12 64 0 34 20.0 9.765625E-5 0 -1 +o 10 64 0 34 20.0 9.765625E-5 0 -1 diff --git a/electronics/class_d_discrete/classdpaamp.circuit b/electronics/class_d_discrete/classdpaamp.circuit new file mode 100644 index 0000000..73452d8 --- /dev/null +++ b/electronics/class_d_discrete/classdpaamp.circuit @@ -0,0 +1,32 @@ +$ 1 5.000000000000001E-7 1.9265835257097934 50 15.0 50 +t 320 288 384 288 0 1 0.6319077938439529 0.651266608741966 100.0 +t 464 208 512 208 0 1 -16.465469800550164 -14.324466857496528 100.0 +t 608 144 656 144 0 1 -12.816421255918415 0.7249918186188764 100.0 +r 608 144 560 144 0 390.0 +r 320 288 256 288 0 27000.0 +c 464 208 384 208 0 1.8000000000000002E-9 -14.34382567239454 +r 384 208 384 48 0 1500.0 +r 464 208 464 48 0 180000.0 +r 512 144 512 48 0 1500.0 +w 560 144 512 144 0 +w 512 144 512 192 0 +w 560 144 560 336 0 +w 320 288 320 336 0 +c 320 336 560 336 0 1.8000000000000002E-9 -1.4897363343116683 +w 384 304 384 368 0 +w 384 272 384 208 0 +w 384 48 464 48 0 +w 464 48 512 48 0 +w 512 48 656 48 0 +r 656 128 656 48 0 4.0 +w 656 160 656 368 0 +w 512 224 512 368 0 +w 384 368 512 368 0 +w 512 368 656 368 0 +w 384 368 256 368 0 +v 256 368 256 288 0 1 100.0 1.0 1.0 0.0 0.5 +w 256 368 160 368 0 +w 384 48 160 48 0 +v 160 368 160 48 0 0 40.0 15.0 0.0 0.0 0.5 +o 19 32 0 33 2.3384026197294445 1.4965776766268446 0 -1 +o 25 32 0 34 2.187250724783012 1.7087896287367282E-4 0 -1 diff --git a/electronics/class_d_discrete/classdpaamp.jpg b/electronics/class_d_discrete/classdpaamp.jpg new file mode 100644 index 0000000000000000000000000000000000000000..c1ece9a268aae9f3ad9a924f4121f6036eed82cf GIT binary patch literal 189434 zcmbrlcT^Kw6gL_~M5IInK|qKKf{Ku~em4@88~M zqIW_@n!es|ogYa@horMuXEBL1(N9_pp8fy(`Mt>hzZJlzC!Uc^r|R6F)U2yBk2J|t zN7qzmqKQNyk#zL_=h~$I^G9cr?qt0w`cn<28G;KcrjsV==;}_ItgELtc`~^ABzT`R z*;H@F+$Afgm~GyzKku;l($l#&r`oT2+%R)XJL2HB=dWagX%?2VtgPodIxSeZ$lb%! zYuR$|)oa%J`Tx0YebClz+k9<0n$iq^6~3WS+fn@$XA{ z`IoO;y;XGk&fVgB_y2iPR$ftARb5ltC~j(QX?_0UWk+Y%yY8OeK8akR{O{wZ!Ovg5 zqTjy%_^BSlevSW@ONXTUzf|@=1^d6rWeVh)G_GGpat z{oRMn<}E!v)qGX%&BqM}_HJ8{nS1_fpJw6UE}xJ77VUpX_Ww?>+6C^pm4_2SR+B9p~s#Pd6gJy-;%hR)ju2}ynWLqKc z9$T~-Nffu4yl3Y(?-l1_S0-_vV8&qh|h+1F*R6YH1pyM7wU-XoBJjo6X1R`b*iKLw#Fv6 z3n8R$?fz>eEA$7yfU7$pS>B?JZKtHjrs;q9QRMl<+ND3{fSWPiKRqYO&sEE4Ej7+yq5cdnATBmgO;>TkjTUw?mMP|yJ|99U!9GJhDRRmWbajOWtK%{(hL=C zw*7c8DiD7-vhIAW)TI2fRN-^y!@r&pdR=D{r+>Mgo+^^nh@QMD`3K7qi)PSg5`9ov zVh-->H9SGOS1jg9j>v?3B(XJgtbrx*0pGwFel1f;j`+3zq}Uj{LZ`hGn<2{QS_+R7 zps)=V4=hK*E9xKhap*NYPFaEVBwWNJ$o>kCN))VTF z?0@sJ<>(~eWTz;}%p3#`t@oKA>D|))%~>xpSMBTMdd8fHYJ?=O)Ix1e{l0z3?EI?3 zI76EwiXvx8%Lkj8*3p}yxo#rn0z=EyiU0Y|%by4!=rBfzIp$PMwjoAA8`~LSBv&qqA)k{yK{5)2i?Uznvrw1?HJFWB+*Bh)`N4$|5 z(wE{}Fn?toIWy0j+NiR@cVUN<&|*d>XRd;LCQ<0Ey)r@CBQ@f_7nM7uS}50}9avdo zE$@s_A4`sl^F#le#aC?1RgCCfl=btM>3%yXCCEl#^~7~YSa()y76EbpWF>^Gxf?$0}%hED>| zpW&I}{1t&+&BrOiP0>>oUte9M8VR-uDx#0d2Jlyh?n$a!Pj|B#>6thU&PRewS z?Mr4o460UGXExuB&mu2WP;Qb<_zq0=JjKgA!BCJ^iXQ^0EGM- z{FoiJ87V$Bs-jzSHobEne3vjwbj(n`kLl_4>%XMq#;9Lm^p(6FvldKRVtDiH)>Z8S z_B(MZiP)3?VLtt8{YPk224UN#v4tBpTvT|ax_V^$L}0A@_!P{onB5~5&Osjc{SyLq zbCxDBP(hyd;`YC3(%=BT$!a=(QMlEunE2vKYwkP%Rh7_g!4$aBhkO?3Uxh77Pwj8X z6Iu(AWOlwf7IVJEw+O`^SRJ)#BbTa1D0?_-^|MVw%KH`@|97G$#GxZ=sTn0mpmHa$a5jQ!1Tc>_D6e*qZ)w(z%+{iThghVADc~@G9b-3L& zJY@98$xC75=g4!PecPeivZ0uFqy^qUxa;88Lg0q?@S_*s#6&BMY`wDY`|i92GHoH< z)H`6ehuHIiNt-0rk>xq>zV3o0J4=pR7KdDzd)zYv2vt8p+QlW~OY-rVQ_n>aft|94 zQ*9aewPHpPvxxbm7`G@>v$PL|3pkT7hMb?ytmt{BGUdK2G(y{&$bIQS+S{V2S8ZwB zsoGmK4`iT~m;u?mLh@U?PgG^oydJ!dE+jLtjniIQP_WgW;WTM9ns};E`Bl&>Af`EK zJP2tpRDKjLJNQ(=H~Y*lb82x3lQFV6K_y=fg|JsQ70z$poqM~7J{!Bxx}^(5zXiz2 zj=41z=$g_v-`7`Cwgh-S5d}0!U@vBwsA0`n z4`p22Vo~{%=4t%Doh#p(>jmXFlUIPxh$l$0>%{y{W>7m6NyQerK8?~f40xs0mocA> zoM`MAx!`ksy;u`UmYhg1{Wp2J#X=)92j|%zR~?U|{H&Tg@6TrrlU|%RAPsW=@Z*j=G;kmPZ3Ytka(E74D>*PAd>79e0u^WtIGSP$jsVc}qjRd@=UwwaRYKB_D$>v1RMeG~&ace5qdXa>zVb+$_fycv*r>fq+qPG;6SGm~2#~5E?2eqF z#}=qz)bD1T!u<(aoa}zNf=rI(8b9rF@u<_(IS2Yi)}$-U$2aLWiBJp1aF}DJ*V#Q< z5SRh1BUzHKQGW{2dE9?t!?1N(+qaO_zO5N%>Pr@RV=tSQbpBUk>1+AY^g?>hJ4l8A z9S5iV%z})uEcFHek(Z){bL*-rD;^_6DPktuf9ZbUz30Rl)0nICCrBf-e12@<$8(2P zng?zC#&Fb=D+OP!& z(>k6%%vw4G0v1;vJF)3r@n71~1h3>d>sEEljc+$QPd1$(Wz<8v+9yb!kpP?hC}Rg< zJ<+(sR{*LFA4HF03T@VEvwzNG33EmUcWO)OlWw-zR*7Rg$UHlJEFam&wtmC1iJQ(IYdrBL z|9)?%PajMB^7Q4`hYXiYdp~KNZr*3IE^>qX(TA8fq)iche)ZcJ6C^B$^4m@&m#Y8< z0l$%5J27zoxLoU|4*Bhgu_x3yRnxy-wG}*@Af3LN{qyFT@?e7ppC56%sI>i7GxH7~ znLK07*Ow8Vv*ED0Z}eZkfu2e!p#%%sb^I6*u0@1I{M>%t4fh1A9r|OX&7(+48I;_9 zrN`bVc@uqijp=^}?gdZQ9qezze7+L~+Q{O8*x(7$6HDA&(zC9tTod{64aeFyP<(vs*Ga4DwjLS?xO_ z-bar_{exR;ue+Dc?B<)~9yxydg>lb_56giS7k6*R>%;M$4$1wY!9Me<%a&{hs!915 zmR&K3nNs65JOb7*PuWm6?Qz6wgeRNY;i{~!uB)uzeDYsmJ4g}dS@RH$D#7TWuTL{vRwoJ(42g`&Dwtczq%E^12xfq;y@2ls9p<`E# zyI#lBO9TeYmB{P1bE+QSE6@s5eqQ*7S^GXCx}M$WF^rFb76&`udTVz z<4IR3X9>z^IM%b}>-T=K+8LgI^S#cm^RVnk8#ez^!=H?2%zpIroCAD0b6E0d2}SD0 zeYYTE$u-InQd>|*sQ|Z2oFGlUZ(Pj7wu-7U%-%$7XQomnb?qq8wIX_3M;3&R_%9AT zJFu>N?zHgLlnyCKsT)qfvg;JHhoTrVwuW%x>@E$?H)!>fkKmjtS^cPc8 zxbw4CW3Av`Ma{-{cebK_Quzca+k;GI9|`WH?(d8r{jcjm6oQA2iAyN9UyniSnQ%j} zX!ioTJ9|(Kr>m0}qR=z`lkA?6QboKk%}V*&&zXGcSOle$u($Pl*+DV(Rb}FvsbYcI z7s4}3o}S{~FFKnaVU!k7-uZ7gb^dvM#Tq7Zyb7Q3Abe#^5(2A-%UD#m7vMkHQTxE^ z7Q2NPdek`=zBta#4@*jV{^r<&2izdL0)Y7iaKpl%)Fq=_3*tkBZU0|ZdSi{`Q{T$# zPQ5yPhx@kn`%Iog`ID*p_e>4M)3iIgVHrSHWTVOiW3+IW_Yly~RpazC!r8=gy6?$F zaJtm=V1j5?2=RWv<{d3TLu1Fu#!{k?YBZ`DI%~HagZCA>cGDKhoMD@_$l%6D^#$86 z3{VX5Ehn9S-mFHP&< zwz6ih+%b&(-_ld?!X?03gAlTQ#vk-q-1naNU*tw=itmZN|7mZ0`f}~u#nIMlBElYA zmAr9+)V^F>B|^G5pvwB3Cb$7m@q@}3V>Ju_s7dbmJg#@n+b_2WZit&8fnUQIPqa6n z_%-V$J8IM0n+TC>G-MvncA1x0m9=We?hDP1*UFLrk#CO z<8Llt2Ikxhnc^oE2DuLxABFVUhJFRjbcc7L3obo$be2D#Uu}ULAN_Zxiz4syg7dn+ z4uN0`_VPv1kBxA=3iqHGipJ*xEdPJLSn@MV-iljf5e9?k>J3S`B%Z>T zik=`{GbFM3mY!pA#!N{ZVHl_}Ctiw73*=0mhu|F79m~9f?@O1x5y=E8l&Q4iD03&0 zfA)aTl4!oVxj-ti&`e1Fgy(QFo+&q!r4#rn?xB`dm5z~d?W4@ zPVi&xjiXR9WQngu$j=50v^Tg;>^fO-qbWfDGhE9;=(KguIe;%t5lF`?bf8m?Pxr0O z_EU6>xb90I5uJi7yc$^&daH7O5ugjMww<-t@(Evji}te6u|FIOYl@&e?c{oJ$X$Nf zN|nhM5t4g*J9oh&%d);#yZ>Pa-tAQFsZCo(9d+&gI?$#23l!)7Pka6Eji@HedvJ4= z+C+PoL($B}%AQfCCopF31TMXz0?J|e-|36C?!E>HH}{%)eV4Wy9DCr^+1ys?EGR~mA$_cWS~%y$ zVN@*dzNFMNVKJp_w`PfBrcyM8>;po2H6n-*}kA@I5EHDcbVV+g|ft4fnuPxmGV%v{~1f za<~_$PYz9?cX;TLK6TA7_9E$=)mk3uR4&#ft zb9fuk)>9saT1R!qf^O;NnrqSmMxy{*z|EEy2(V=riK%W!O4&(kx+t3wC*0hmfIDBe(`&smC%wdZWbN6Gg`NUL_$T_xmLo!XM(h5 zP(1*M%*{yM1nHJF?|;2Kq#mG*t*2W~kQfqc5fhH(V~hQVeKijF3M>^-DcZ8Re|-1~ z92wA{X+2VqW+Hr7K8u3IVLiaQKt`R4+3a+&_dc)u0qC9m z)i*gN@SZG%q<&r#QkKE4deU z!mCM?!!(#6MNv|&F5cdONg3!&RTkmO`4i*)vlb~_M!UzI1L#siuKRmQ_y;EDwy`r| zI=SVw<^LqacCr8Yc(jx(6O5n_zE&-J0z*1R1W|qHaUf}7)#g8!SdB@SPasmzKvZ65q8Ru_gE?? zOhaco%b4c`qFAk^!s`@$?rTKcN|^?HEULdCi#k(+|B2{lIb4t`EON&DH&%B3aMtd2 z$Y1|`l<{0@0^lEHPfx0vC}*U0Tpz4V2CA?@#8NgmJajJ_pmz#NRzkyfQ2WLcE@L?r zQ1*Z|rhlLF2eOJC&He6ZySVx7=3X(gox~FH~bg0?!qA2)`@x5$sG*lV*4hOoa z-1@fS2QvC3UgGB9eeHzZ-Qh+bgM9_+R+$Jl=c{*M+FL|NZC60%K z_u%KRj3xTN>Of(E?3DKUfN+N}RB0k4Xd%8A^&C%k!gk z@xNO(7Ao~z%(8@Y5J{`KkH=$Il)RKePwhp5yaO|nF&kgA6j7N3ofE9B;+nE~ zO6KqgqLED|X4j@L(EQB$BQlC1J8SFXE>~*3?k(f>zHTe4-rep_V4B2Ky{`mbr}svC zZ9bNualr;W@?2uZA(9tYR5xd3c^F<3hjjNRzS;Cz_~{Sy?^=ihr9NuL6V<* zW&Od^)m^*mFjFK)yt$%1lx7@i>&cRsMKGqnu`)HvpCI*B-u+|tfWDxGf2NY{j6eXErMEFRGGidq>M&`gGgq01+mz1|t8nTkm zg`)@Rbq?FzMA`zn62fOFcRuZ`m^xK|P<;ou&N_T<=Z}yCKyoFA0}TY9QG4eUMZ%87 z8ejI_fcB#`N14-k20O!GRU`vTGt;;NmgT%y0b?%sSpiNo{;VGcIBa z;{<)uEtS|UNn%z;N=pO@iF{g>oqnoR#o3M8H!%D1YqMS@DN4QmrRf1Tlw%9ggBtab z4*DeGITP8$kWfGZQ!X8Dr;e<{T#Tnjd3O?Q0GBgUopBasToX7!QTJy#}3nI|8}p>opJb#qsq{ZzriXin@|Ax^8Q~Ti}&p(h0ic2cQGXC zgyCs~sb*lm&>@*;jb#&S+NkY1A;nYi68=rVtc-GxFZf4H?VA z!xb4qCoH&W-0RFy*dV5G!P6cOgL>myLzXf7CGnzS2W@`RVe)5aq=aR8PP7M-bZ&g| z?rkqttlp@-G2-Hpt-B>8vgT0_os8uy@KBN4CRdr(t?Btw?dDKw9`6ve`p_4LJ)6jM z;0}LrKMd%C$6W3U?i9d;&t|)|QpmWC@-N6fRQ=K?*UMp)IV7QbdjD^I@!hz!e|o z7QP!l3~bIo1zCg@+~6@mVnG>FG9LB-Gi#NSMf;?@fh7ndhvt0$brHsE1esNvm~Zx4 z-ZnitV*VNbq+;yq!kd+u2VD-@cg5eh{+ z%=XB)AGVBvkrd{O2@*cTvWY+Y=b!G>%uw&e?fjU?c0z1}~m>5;L>-vyL+Q=N_f-3Z1c&D7iVb z?KF*we3zwmS0GEjH$abDE-K@r_>u=y{oNwIb~Q20tC;&H!dH8j<`yXzNmA6; zv9iOOlN>{BIx&OW=0(u4C8c3QQY(-g^y$lcnxGlsxDC4hshoNy6m+!G6S*_1L@3l0 z&Q$PnII)k}Rs?C1Dork#*)56dI zW$^G9B_jy~gL+i`;KQzK>V(h-|P-`d=P;UmH5kS{F!URviE-Dy(SI1kM zU{I%kcmY>brEzUIHriskIni!il|{_N_kqgBK(h=m`EjM#qyb-jnPn@>2rE-miCGp{ zn=JiY{3tQEFNT;OaQ&FAWs7I-`<}Oaibz&(w-5_K_JX;9(tfxB5-$G?mlxw^x8Tz- zQbsq%*8&e8{&i~;J?0Fhm}bFeD0 z8GEpCyY<04biNa>J^*>EJ0AF5e=KZ*WIH}VO6t5yYyUhT5_dl2j@{Hp1+7#`mij3+ zco)F6EvUQ5{m@E;nF&YoB;?+uX!5 zWM3F}u8U*M;y^PPi)TpKDGlwMuy*%?UmgK2KHu$$si1h!bJPMCjTK1-UdipQXf|RO z)xWfte^Ps?^7Iy%N3l(y^rbXNb%86A`?JEsXAHJT%RZe}wxvNv^y%!?t#8>^z$P|l z4@euT1}ss3-q9b)Z+ZgJmSRZEIOip~tp79f)9H6RU@3r_ktE9V@y(ykMaAtUbo+hj z2TxF5iflx(d(860k=?RPQ53V!nK1YW%V07g5mj)@(5z-cr-wcdi|uKWn$*unQq)n{ z-urA#Yp5vGDZrMowu90t{G%45BFqNwyqZ`SkU^*3biEaLsWs(;VjS?ks3!O`Ttyw; zt8pi~L)}A9UgO&kGe6TEQF`vJr^9)L*4Ulfzl64!ShmbH!6(kA|1!-CbrTObB0G;8yasr z14xJON{8_&H5xb0E`)Kq9@?#dW>ZrMC(vB1)lYkH<6XSv<^YyKtanSD-36Bl=fK6f zV{eMSuLN7`l>dj%8}-o>=ud@+8*|#`v)?B6~+&D`|W9Oicg)g)3&3@y>D=VuG#O+ohuDwzR7%9$3^7E{8_~Wo@bS zc?d;3LDG%DS7Q0H?1L(WR;bbw=Ay@_sVAb+7vo+^iuv(e&eAU7qGn_}oN=tOczbn6 z>8|PmM*3&z8EGYBI2V1T2tG#`;kMelgdt}O)`0xvBvN?XnK*>y-eeam7-yu<3YWem z?ATw2nuXKf&Ii*DZGKfqdWJ`!euJ96R8&=Ub~Jw9`m|qr!ZKITn{S<6K>FYO>3;7g zrqxdepyvY1?u1=DnyHz@mFVp}b<9lTjr$p`RH~fMJl8#Uj&zs_2)TyGNWVYuFPb*Z z)g`hzcZ*bgVaI=>a@{RSS$vS?E1#;%bhaHgG0MB>Z?GjaA!lLl70(5lAz~U($pXM8 zC;vS`l0c$(vNlSPu?=sB8*D)3!WSW%1vwOC1Fu)Ch!TUgCAk&u>y*FiNfA1(MgZoX zf}#Ye1Kx=A6lckOPB3;ccHE*waXqo=5IetR%=_s0+MMD&1kJjLtCdie zR1W|EMs9gggayfWdgrG-!1d&evqdskEJ|xr++|sQxq7fjS;sK#AMz5WYc>JBIEoJN zumyrlI97F*S<#jONAlAKJWvqAuBy~awb$sT_%w8|1q2^9B@lbfLQ9^3wEJN?2x|)e z=C)ZJ3KpVlGl;pGIHHHVlK-d_njlOubN1TZh-8OfvBDSz+y{N$33EVwi!kRYm-H0T z5OSz(WbVNpH>(l<40tayh3=jdqzDhWfo|D&5NCZ_|LFB&_pRku8>Mp2;4^U?>9;n%>vcq&vr07P8r1FJx>I zNxzv{2J$+aI;Av?Pr+SK=P;}oVQoN!kAXY<(*qb7L1riByamful*R!FN>0W1V=?zR zI#`KjYdAZorF{oGu{FX96`jV{31WjIeDYhFMgYJ5?A{w@fa?@xR)qU0b1^TloR#*U zdL8gMsC^9Yeu3MAe^G3qk}9F74`NQpC80aV5-ZR|a{NWuQ#47&xI|ZJg);4PeK<&ZrsAc=2P*RZ{Vp= z`bENIp7w?`xW4dXMc@fauT*w9c_2vfA}TFzTb2U1b3xuc@Z9vZyR!id??nDNQI_DP7sfo=CJIcB~&R&A?Cmb*?0S!yn7lL5-0T$r0N;9-&yXT zIb1*g7B!pNxeaLpO$LhbXZrMuO|=#mm2J9TrQ{#k{;k9mEYcha8#m6!XA}|BDs7tb z!Eonn8_h;WjDoN8+0LT7^eEhCFUz@}$U3r1a--*A{p>xcG-Drw^c9D7Rg-t!hO)3757i;G^FR#zOVa>%o=x@{ImT1Fgd|6YBF^qtbD(L@pR>a_LoQAm4vhmzR6QzL=UVKQ;r?UEY2SYf%`MK?ABnd7m)|+uYI5e> zspm<@29jh^U)REXegm(Us+OXZ-}XL=>vVz*^$@b7`J&Qw+U%ozOH8b;>!9mnv#`Nd z*o2t#b%LbhpUyS!e+B(viwO#f*PB|TXzMVAd+=AKD$>#x^iFp+?Nz0h2 z(B4<%G>WxZgLEeDqnSzcFu~-?NIqKlN}=CEwq+0cqpXo&WP;?^S+9#y)YSI+B z;6)7RVrR5*Q+ScSyR>dqhw)mKuRn7B4H2&oFiLQ^P7Fr zx54*yDl3tX>ipxAh(^d@aeYf&`r7oS#OEAeR3wf&BdX2`q%w1y`&ByqFOEb}&Mh7c zdo}co{AIWBD_mb#Sk%dT#oOro3lO#`n`l;1G66P!$)iVT0G7YL3yS4QwtruF_V~@h zLI3un6mu-9;gSFB=vyB)WXoYJVBe12OA7dA4v*((R<(S{F2-ko_Fgc{rGShrQ!3)L z7vv7}SeO&5A*B1{6X%i0I_%Yv*^iZrhECf4H+eSA#p+uDdW4t?JYupIctt9QsZCDc zWloUFq_{;8Q=5moC_qkOqZAf_A}9?i>k&&Ot6oYKl~()Qc2K#5w=h@yiK9%ACyxXcq!wQ40j%x?JuTO z@`lA|zH+u#;ZiJH_93hp)&*nB5|$ZfJyLv{vl0=iJ6j@VV(E!;>0`d$PQQlude)vQ z3lWm?74GBD_`!UkvVB}ddrN|*w>ubm7uUKp}Kx2j**VW{Q1{)_n2>Za^s}P*oOq=g+ zT-o1H662IR=li;+HoJWRW7*&e$x6RI@StGJ+?3^2Oa1MJ>L|Sh>e!Cb)ZUAY>*b|w zS;c(qyyZA24|&j+@wIT;(w*z+cCD7Y74$J6&Q9(N*bLg;)@!fcqrCu_i13R_MicpT zgk^WVj{uxG*vJpR=$CZTzm+==<)_i8@*2*L@7OI>BVaGn%8ED+$jTh!rLSq0@`|&a zYu+xle}t&>e*s|EOAn+Hl!butl01Cy%J?e&m$uSq{bkA3S~jFUsG3%$ zgGeHe=&zAT@~*>nN1JiLo+SfHxB(Ke+VsFG;@fa0gAR7B=^Z@xJ}lA;pPW; zC`uVFfQA!6O-HGiuWE*;a-fUr+HsZw&Jr0BZaX-uFef?H3KMPZ;mkzMcD${3Rtj|3 zMU5v;7U$3{qv6OZFlY9Cdu>^l;@1HDKa!c3roKPZ@!7tp+V)^rob%DTdTk~#HFkW~ z#SN~rd4|-S8|UipguRZAN=|yn{g7}kZ&Gao*pOA=#_p@~Daaw}2&H0YBrNB_QSH)#emZ!PRi2X#jBHn?GDX;gh3>V?l< zj`nZMlh1p!uAI^f%ObKqg|?8@NmHspMt-g+GUVr#{ceIjr@ZBc)u{)%6|QfA`2qhX z`{$+?A6w?6&T19lOQgeJdVH6t{~gp83VUymKbwdO7`^ty_TL5@=ZzOLk-#GLSmK0!lWa~%uH_AfX?(s)U3H#ibM}(5*EM|l^O9d; z*r`NHld4yx)(0Oti(MoPA+QA)Shx(v7N<8efoEC)81hlu*JCQpD$pa5i_UsL5_kia zf><_=f66TWDm6)Hqljg2nwQA1`~uBM7Et|wbNJrM;`bkRzB+95<>ZuqbTla%Hr!yz zrN9j=X}9I{>%~9PeYM0ma-O9rJiqEU%ZP4b5q3Bc+DnukfL>%2Xd=t z{KwK(0Tw029MgxhG;?C@?9iwYz9yP@dH6F7U?@tP*mOdAmcx{f!0Nv+<73iU!ddLf zpeaR^bh>MA)~l?$-+od}zSM3{-)&N+X8um;e}~WQzs^%kfU$sQP|Ev=EeC%xdj;wv z-HWGu%>SryDLzM=x8t#Otn=bGcZd$l!%r{wem?{^EP-T|p&mc;%65Z*X@Re*7j?!z z+*Mg21gby4Z3`W^0xwTn)&4%lda%Wc=6Nv0&Ii<5?E24wp4VxkRz~~hkgq{30cOv@ z&7IWMm`1aM+e5d}KBmv&xMLu<2OU>1sljc6ryK=PG%ynr?3Rz1sl7WqAZzX$>x#h< zvN9X;!!Ci5{?6O zNV=M<&wa=9N;xV`k1*C|iK3xXpi;&_U($nN5sI(EYS4V3LDB8vWZcCvb%eI?e)N(M zFeqdUI&KS0cuHgLLYF=7j=uP>!~Ix?$R~_202^EHY0|c2&~P+ai24p(ioZKS+WSF# z2YekBip(bOsPA|@wI+-@{S|8Sy0Yg^RaRtiR#ejUjU68c21lN_?Oa^OE+7m*wxoAn zlr2Zb@Y!By*jn%F^|?c@|A8BPMEhSSJai3<0Y@V$Pb;L;0%Wgt6?BD#zU<6j^deza z;6t5XBOuSPr{d;e;#wFtw~;^0KdxEMnUC$(1QE}f6-+UVZG)!?Av{{By+B_|yn}4( zS;ev3>DUO!@6_9|T=1|nMGd_x7o>BogpaZ8IJv+UU)y1i#(&C${+tE04OTx)Kt$jUuCu_@G__ofCR%bBfEvb2JK zTDa_e01~SJ$gV8{Yg7F!r-Z9k+Jj2&U)WNyOGaXjYAJ-?bfV0Cb@Rv(qQr~WG5Y( zXIR%CQaaoi{vBjLlV1bRl+(sHyaEnu$$kVXh^0ju5E|vZAT_cNi@ma4xiytbb*DS- zde+Lf6mvH4mzFImyQe>B0347DA0+D%Z($=*{BL*sLwotCNK&O}+}F~w)0nX)1YeA0MJg%j*8I$Rk2~zjS1aEBgigWM9{B!Z|=^##f+_Wj$7T-U1-;J=j{Q0$lF2mj~p_# z&ic7c!Ahk?s^5*V9d!2x18ZT%ViI^#SE2wRod9dek(|Jf&U~Z+~rp_ zAxXS0ZEZ_DY5!q)%@xk8^6OW`nY#z{0sv}}aZ8T)#;~il$G;hKez9 zFlMDF0h>SCZV@u5)^F~@*kR386f$l57=w~C2XTMQ{^T5XJNQCWTv8EpHkFm+TK*Kl)X@N?OqgH_!k?)<$09;j;tXc?UN9V@Vj; zYACCU{#t73$go{GIVH|p-goN79FuaLU(GTw=ar6|r}fAL=|4MTLQMHp!Xa7-Yp0WRAnY9jYrwlK?`T0|*u zS=-2Jv_A-|kg3jiL65x}MSY@1rU{JHZi4on#Abu1CtH+d*4tnMhV0Q2b>)3SSfQ&oA$7!tD6jWkAtj*F+|jZi$vx{n6W8 z`Zbthx(_wE7h;?JqtGcY#>3#_g`q88!BqE({r!Z23hdwZv(8CLB5c_i1D7)~2S&h_ z$=9CuSo@7Gsk|B&SR!S=@jSUbDe*~db#lz7nxrfL<-8dRUSjj&lzlre!5LARyR>ox zK|}RV|L6jVmKFCE^dz`}0@BYXf;8?tcK@H)<`RrA^J-yaip*)7plDbpy0Uf)=j2ltG2Xj|v#t2leZ2vj-a@CV`bc_yi$^DVEPJL;I67C*zte#^KbXr_@Et zW9iXj!bLrEVx8~mwv{fhZD3#Km~m5#fS2Wy0hjx zx?36D#JuOV2dJ}xZ11K-8JFi64Xix2)Ll#%fR?;oOZd8nZTRfX$Ex;IAO8d4GXm7> zEdD+J-tTon{GF;C;@{VUaZ`M3=%bVoCQd5mMhIl5gyiB%M!<_W^mhu)>!W0(iplG#y|*-xu zH${qCdIpvUCPq}(WC)s=8Q(Fl;2QMlg8^O5D!9R36zdH4vIv8pQ5pv@G#3x{!a}Fv z<#Og(H4~{4IfnJ^R>Eu zMbm-(og@49Ic`t>V`}M2px`ke_n_)L4_kJ1)8HgOFd(pk`~1eEL7M_%23oy$*?;Gf zHtBzr4qw!GVfuh{zCzb0Uh$2)Ex@2GD=mVICEfX&9{A|31FH8a^$r*d=F!&0-}R3# z4#TQi=}t^jc8|ioKkU{(tk6*?teLUSqrC>-4!-p4*Wm3Ugb8C_FQpqCKYctPk`+DL zpcY!yUs84^@cTwv{ko?Qz5Vd3C#_>@#T912x_Mdh;bmR>PM7}F4>{oomWT%SIwY$^ zjgIk=!-*N6ytO|o1;=e$aWhNxfhtcg^30m500RNtseq>!Gxx#GkRJ>~|GoQXjyl9! z#86$8)%XhSW6>T!4nE`o4+2a?@@jk$D!^xcQz@!i+bA*8itWXSp*n~1gxb(HB@Qaf zxrB^049r8BO;uf7qc7Y=1&vJeVeVYa@9mdT{d4$Q^UQdQ`?6n0HCe`X9o@saV@~QD z+A}a~B&-RPDhzP&V=wn5*Yh_et@pc%x{dJx)6hb-;`m|lN@?H02~v>uS~OnxSd#*_0-TG#ZKahxWEN%~v1M77+TxbH3qGW{OCsex{{Znl|p0;oDKwpgSL>=K~vy*r6%-=Z3U1B+o>hw5t2?I#2U zpn5Yr$``+OeU#iOMi@%K+?)w$UK(bYbqsyK;^muN7os2T4U3E0L|%k}jBoM*aL$fp z4A$5RM+r_btqEJ)M+=NC1ITF(?Pk+_P#c9)3q|6r=otw>%4AhyL3*uz3Vh%UmWn!q zu|OINwNW}&DDUC6ci4uqwgxJNXs==#yMkFaX-23%?kJrWFHqHcmHzS<^RYS5$ZA>k zk!Fe!Ee7yRQvmIvS&B))fQ82DH+Fi!)7WjY(E_B97;6$4fcv)=j2w}N0jY*q2?@tQuYW{bOES^^evx!>}nm z6vU5-EwsCN`?I&P6ra6N^VbAvAGSyGDbRR?J`)RGi@Lmw{rbsXA($>kO*tWos>qKU`~bB1isKr*}1rIwef85JkXq0?A)?_D$%!hT0xa~jK=Sa1bl5l=7|&b`8J zsIJ|;llAEe+IYm1Nc*-}214=pt8*8z61)Z3+OW5aP0Lqd`&b5V|dV?B99M!S2TtoXUJrzVT zFjs_j$n*}WC10kHSu)*#K1e*0vnTSHC$R3Y{yntOL`kE@ZXV^vAE+;ME5!KFo%H%8 z6@T+ELbskA!?eZo*O|qYYXcfo(-^K)J@=ldPiLB}>4jFA@+NH8V}1>q7d^TskTV~7 zg%~>WfS`5=nTj*&n;lo{=!t{X8~7DarcY+BIN=k%>^U_)1glSQk*oZ=6>M!99oxWo zg(?F@*m~)TTB>Ox3kb~hAHMy~>>2#S;Y!v8E-@8q+$B8`BnWk+Uq%6W<*hyH}N$w?=!NOMi!A z2Q~diwdv->2s+j;QipRo3yf3o^uB6P_^SGOwEh)(P3kkq5SmJMgE04rVIcLmz#(=* zboC)VPrt_9F0HqrRM)u|E0$$=Z_<%QRk%YrX8jVt5ZFt^ETwtgT9W|Qp6&Uc1*>RB zp*!p*I`wLCvjxs3CqX!{nyKcMJ zXycO-;-aa}w7KTBsDjq-ZJJh2j66I>cJQw(vvg8Sn$Niz%L{a;6BNf+Pnt7# zq2z!j8=8|5>&&IsG0DAX5f;uP<`nm2y(#F+DSZcAIOejVcM)=ty6%+@Qxz<0clpoa z#e*RHH^I`}poi1G9teB$%&mv6=24?An|={OJ?=sV-$v_B!IfU$aVNhB(1-L}gt-1> z@vF~~g$wVtBG!y-=IY`*BAqNV+#!o?Pn0zHuaYhMZDaVF3taoSN9>eynkKunTeo_b z)1io~E1`c%foIC3(R2E3g(S1ki~dv=4g=sPG%XEmPEfUp6!Cn zA&T$-1?7h^M#O-C*}?h)7P>;h;CxL1*(R$899@&djYd zE-e3ho^jZql5`f;x^SJ?d&JKC@haWdo`wP%Xxq#wKIWr3xZV(P#$o{j`-5A}>uUk^ zrhQEKn5szqbHpxQHvw7Bno;|BoQH0pYk>FGttsy3|%9WC37W}jr#zkywt zNrCOiyl05ja}uPsFAT;zfTB2&>oKe5x@8N|VWd|(bMJM;wpB2OKewT$zHr3DHi?(^ zCK5Gn0m0xv(k%~(>1xVEPW_p!VYbP3L%CutXQxANiV~g-(&qzzlY)KMuiq26Y`4sX zlq;BPoF?YLW>p9?!>ykS;D**O4rgJaY{~4KG42eEmK(bIU#EvRJglT5Q!L1r%IB}pq^5!)`0_+Pa-w}?(%gv{!{xC zTGpkt^hEO&sUN(dgz-NVlRW}wftpL3$MPXpn2Wy-oUYbX^wcl2Hb&shN)!U>B!qDX zb{P9Vi~XVz#L*wjBFSzYceJgCo=ZnUt3aoVUHYie`^g^^5fxT7Z$-D?sn2YP?$FY& zqLnVBV^6)zd4R;FpIpx>7I&(0Sie{MroVWm)b3H!d`n|7MhVHUq$ zpps1xfNKQTnl(b8re+c%?5JU|Q8cUHzC`G0sQl(UEVrB+Sw5sxL;c65c|Hy#Ydn#M#+=VH9R9+N+akSjTgWo)jw zoMkWgn_DqM1DU;s+vw3CbC`mUuh!ncMiv<^$864TU@c|&K2RY%Et*j{?g9Sq(dh9S z2gxZNI`+f-ok8}uMl3)X|5;S^oRRJ44kJU%)v-J;ARee~8@rc$XKzH?MKsXM#X#LD z*+QIvZL!*XiJJ3HR?+c*7Yhu7Swy;)lW~qOHqiT=t`fF&`E+-3m^kJ?3wx>iizse6 zR~Rc*;(`#($S7EE?^^b@GI&-}G&F=`@+CCJC?dziqdHJ0YC<^TXZ}NKhj_L4J{m~A zAax*?0_i=HxTgg!J(uP~qam|_fkw9>0|=o(D#Km$X+k#XytkfP;g>3%U2|EMa*2^V$SvojzQ!Wt zH8Hh`Ut-?-PRD1wx{<)d4+v8#tX(} zDU?5PsvgCHpqCN#M_~mG)gl`v6k4MN{{}*mQZno)<t>QdeEyeA@U4 ziNrlKr3=Wdxn0|zLkHibsOCCQuE;EjKQD1vwNh5a>7UDJV03OWGysRuMeu^{2=N1o znQFX23~0N^hT7JsS=_;YtEpR3kjLtMZxXVD9~X@j431%W|5Kr z?M#XE`LQsuy=d}IWE_TO&y#rQh~3!p#?*PNG3EG;0=uujc_+?ud|grfg*%?KBRaL{ zi*rijA@f*E7c%>{?q^0)_fTc=f9`-NEHDA&ai*6vk z6&=WST(hBIJ+T*mdK_PtuLSo0Og#7uHy9tGt{RZDNAM%$8hf7s2mS}m#^+O@tbPHc zH?fSl_sWO&x&fzM#Bo(4$0Zx_)%`^V>Q0O%who>6 zxW{Fr?VI-L)sJMTY?kFGaL}`cH~!WNcM`ZLc}zNvDvtM?W&@g%TIGjiZ)Y4$)P|-j!Yfc4xTlat|uy4CKZ+f*8?I}k+ z0F;aCf(VX&DC0U@qym3Hx84SkkUlAPr7EKPanw~jB z)bQBQP?f=~o%gQRG*E*OoGseuo1%ETh9!!brJw^M1n z3G-j4WL=4Z15dPPJug=+&PH}&O|vXRdvP$&^yE3)g^G}gS{3)S6j@T0TW*NeHL zTV=0>$bS~2#b1}~c}TT*9qg|f<@K@bpx2!;hEv@6{sQZ*8;cWz?WUbQEuZtk>ZkIg zL-#|5*92Q=BW?t*cu%ai{%m9V39TE;V#EDUfJA{3D3-5xsSjA|VSN7pzVoI?S%sc& zXb`a4J~HV#z+3O9WATCbD@~)VEL}!x<-lCE5y;2ws=93KPV=t6+TC~ARM~(+@})S9 zb({DG+u}9Rf_o^~*e=F6K97rey_R7c2Ow04!JzGu-Ny&|0UDPd(#VD_6d}%K+f_mH zler^_*cdho3An|t)~^!INaA~QCl$Q%SAX@rd5ridxhXpyd4={m0-Ru0a?dP4HsWWD zg^ol7-c`%4j86ozJSb>O5)7dHGs?}ESnlLPI;2K{>XWF}N{?>mw z23FslJGn1(p--O|y6fhZ;aRi(kAG;*K&!PTud1lgP*_7)Hv>ZVwNNlEv<}4%yed_r zb&i13e4V^(9u!&SP@7C&Fgdh8Z$}JTRid1{RTZ{kQ4_zTdv;ZtMzP?Hmu^^RtTwAY zsJg<`{Qtpz2C9zRb{If$l{H< zJUlX=xvHfrMc6dw3jNWRt%jRggD|{)9XY5xXg}xqmO`4(>tRoqO$~s5d150V$B7tK~~P|4+0stcTZjScxuw`dB`Q%v~`?GLs(vC(%^v%DQZ)O3zzOW&Aw- z-s8tTs#bP*%;%;$Hnx`bLZ}n>Zz-kJtdV9B5>PB?p=RJ5Xuv1FB7@q1mhdv_WM~dG z_uWHw8fy*`1d&BvwztuRYfZV5Ylyt7kq>$4tT}u`ZK1_o*eD)?lB2g@H5*?ggne18 zxdk>x=qz*do4n=YZ-SlaoXQs_za?)hdJ+c{K0FyWjC@KuKidc4@dKx8>$j_^n_yn` z6EF3l`EHtWQ-x{GagDRoj@Y-a!@|wCsl7m6-c|PL$faKnzpEWt)xLa4L)l(41q(Xa z_aH7gmFR{2o~8*gs5J@EEk)&TUyRcf=maKPPjilOrxQ^p;u3T#ZX#2#f%IcFoO(a@ z_%+^ST8v({X0SX~l<^Jn z9gu|^IGwBL6Zxny60dW2!%I?pPE^s-chF!Hj^l4X*dMzFLeNpBXbd%lA-vu9r8j0Z z`U@RKTz%V3cF}ByDzuXWhF$DBuXz2*_jyEXuiQ01^Q}Zu-R4^s7T)S(1sy0D;?6>? ziEy@43^gN$H<~{$Slj6#d{ccvt>TndTbN#dAw$1qQIRsO=G7+U4mRn?RqPl@V;;sj zni}B_aJn9C#u#S=v#vjeiG}<0av*HD_Xf370d$+*LK>f(9izWW`QZj+88^-N1T&(Z zYpb8VwccDqoi7GuHQn@>1h(sIwC+)%H5PG3rWGFV9*Ku0SJIsM3_YV!*2RVCgd?)( zHX+a`+$Z76)VStc(O8MEel2|$_U8S$<_5|Q4^Am-PWJBihK$yeapSVr<-QF+ojomB z6~o3hfg}TJ!%(Bl{9C9}{hviPYaTh){D{3r)T!EJz9oV7Xv8;>f?X+sPXYkn848lL z9s{RQlY-tulY4Mk5{rRM#MwEl5m7Fp;b302BdA8z00c+Q1%2B(Y>P@rO^Bjbpt*!K zW!njw4;6Z89!0r+lTUdktMki1{LOLGd=Kdr)d`e&EYE?Q&s< zn})A_!MCp6A*zSUQWK0@D>ODA5#K*WYWD$y3WS!fBQHnsFvAw&u$dVp!d4CtGwA7* z9p9vNPVYOfdn}!Rti#!LLRWtGS^E|3uXwOE1w; z>1EN~&e(Dy-muKIPBO8KQ0ZPDk0~a4Hn)8=G2lU~n<&%f3j)%O@vX=%6Ik=eAk^0B z@-e@Um>7487F9w%V%RH&It7?ufz zQ=#2yxKr;nc|?BG4PJ7zl7-R!9dJjW`$@Wq%rjp_wKq;`EB0> zvlt4x+N5WvQr2QQ4+habgc6e*58&O8AiUX`h166(1uesP9IX^5GPm4D*f^(=H=J1Y zIoLlw`A#2?<@G`2OwEgQy>JWbZDIey>EasevHTpF_IFJ=TPf@E+ni^}Pow5QlPSt% za`1H_rd^A`Mi~ZmQ3Hf0Y)I2e0km3`XzoYJhTFvGL8bd}bG~%yJLxjQ4;Sh_iVXeX zjcjPSXv`T$1k-}`rQEa~PempU2K>8C@R0sN(DaLOYoJhA{@8ZoF4iMtvfXq3BzK#y zkUZTfv$bCJ9daCxzjSR*WbVd!jZ!C^m1p3k7S6+i6aTHIXzd^Oq=(@bilSbBP^W%< zsfi93G_cEOXmWrDA1r~f^Qd0;#e@r1!?H%E;km`wp!`z$8PW<9sN^g!>^H7mCC`bU z<|#o(tk&_y0RVT7?>+QO<$dm0qN)j1)DMMPP;0>u8AK%_i~+g#8p}+qd3vD>VwyL=qu(>x7w_Dzwio9xDxkB!rAHi+pHF7{5@d zL;GIo>A73Oy+Lo%qwC52B2EKtw)uzX@X;WRQI{I;K&o@n=aWu)gYgFJhHODI2sM~T z;ZdG)Q5BzqLU zt(NQ5=W91t!J3gCN*vaE2@DP0vx#_JGZBJ>3N)iB$0ox}cW>aK$u-0Zmh1hiOqZMQ z?;rQi6&CG$@sUA}6;d{X^geN%$uZb8hi~6x-1=N{crUPliS6UUr-VKy(QDBs-HWdA zySBm1)G$FX57OP%!PQWNrTv2MFENC@zayAL9HpES9z)=dpIm(HG&)mrUq(8Y8ZQz7 z5Y2uxXY`>lXr{o<@n5coPw$!41a#JL-&ipHTpD>+0MlA6> zTpGsD2B=RwfgiR}M%=677-|JsTGrB4SISKvQPI-`}~X0i3n7%%Y&1@%ItgHCqKv}OTjja<<_zB z^O|a0HM#V=PEb50!xO2kd2R5*pcturd01uK zgQXp!E$IPg&iBrZ$5H8`Z@#FbI|SJz6NfpfSR63bf^vYbRDci`oDk%fB{^Qf@#95k=EbEw) zC2}|MXrS+umb_OD(S?Fp=s~AH7XFc#blvHh!73Yaz_|A(n-$}}8`^7E;o;Y% zjLH^S#8M{hS|sY=0VX+Dx}q+n%S9t!J{uWNI^`Ra%vX_k+|#IoSy!~kmA}bAYvjEM z&}-^BmM^ImI!)^6Sy$s}V4nu~+7>Hm_YaLub~l_VWh8^NG^pJhx79ki^JI>1xZL-jE|*H@GXzD`()e7sP;Lv$vzKHPZ$e{WdF zv<=#R_Bx)+Oa7&g2K^7^J7;H}W<3N=wdFTR$w?OfSv+12f4_!dVI6S153WzBeSU1- zXzEPN|Lb)F)NS8i=9>K@ea#b|4cqYdAs5+`zdbE-%IZANxPQ}Mzxn2!YykD;E$6(p zFAKghs?@6mO7^(EW84g`njQ~l zcfgv!&s7n%LiZ1{2~|%{(sM+1Q~)6c>k>v9YaXDD921S)MtE8Eq%pk=3>&B^c(pb0 z#!O<+zIYnnMRCEM0cSJN>bOo{UoBE-Mk9gPw|_*LEEghUkkxEj375hG(j`|d<>k6? z>C(yFd=m#of1}9-gcH7ZMLW6Iu*);JL+V1h!mY`af&i+rO$Vyp+eMHKGMOIuCcXPD z{oe>rH*H|dGuy*+{yORxW12-Np#Vhp42{R&7bL}la|Bb!HMlUB47;=QL-=G&nMTfC`q?8*bNY|yy% zR#CZLbx-G)CJ$6s*PsC~q`KY)k_;hyULWh$h?BVm<8MKf3QP`Z?a3W=`whzkK zvBb$Cw$#-w1oQag(#;!| zTaEkvXY-LSFiZC1B$S;w)l*VqfwTa$-7c$W?z^nL3^0Db2dFwk)`-ik=(GHobvXUZ z!GZqW64i~U5hv$NwwieTuK@eM4ck}85*By3o*>^UNB7gaIP$_7INEm)FO{<=kPEbC zgotR6PQ?yAj}WfHtuGwpr1!WErfa>Cb2IlP|F-7GROej5O^1hHj`&-q_4%XLOZtBp z^Y6OVO!w=BEkowD7Tf=CCjxOHgq3f;18NTScW@)?GWz-=Kkvp;vh>}KZzki7Pcq#l zb|kOT06q)XSkPle8YX@MB10HI{a+Fh$tMhCS&$G(k~tHv!@A8yM(%&c@Hx!GgN>)F zs@w0ZDx8Kp0cT?TPsoOAixm5QSr|?m@lW-Udwzuz9)7y^7p&fqXv#p-oHpkst zM2YoMw@>!^)!&Z){5tQf#DF8-2pr~jwl&)oa9w|wiyKer_`6aOPJH+7ialdP%a^j?b?ub_W`FsHR^RN{ zgLZ-Pw)e(R*HzWU{NxC7df>KauTMDby#JT0Lb*}+5AVL@vwR^l|6lKWVAj@KE46t> zF4F-;$f=GR+ahs^xmBkNpxN^ye!y-d>P{_-D$ZAo7dYL=UWd?A`ak}1ZadGTY8&hM zq6(k&m%o?CPi<3kNB&s!>`K;z zqNE9VgNGZ&ehZnfbKK!6g2MmH`(k*d!-S`+rw`q;%2Xb`Fn#RmH^L>_VNWjop8?_j zCs0glMu|E1PNQ}6!5J^DZ|ANZ;y!27?#DM1&hc-H(+V{e>58)2>(81dURohtGUoor zd+S=(VE#b>9z)-Jpz_i_4e4lYoG7`QwK*)u)1cm2`u<^TrvHVrrZD7aLP(QvRpw@h z0rex4>fZgN?!vRcM95=C;R!> zu(Nbsb*_BTq`O{tfqwsw^Y}Y2oIO#0Wy^Zd$qTw$f_6t=SiGa-@~Imi?k|ov`sds! zii+QR#QB10-O`m=hYsaP8NZubo8~N(HeR8L;xuYGIHrkDOTzFlz;jf6Uv<1x!7x07 z;28$8Ts4honkzSnMJHF~#NN|#oC(7=d<7;;WA2`y@^L$=ty$ae8y1Q?XC|qCXy0wu zTj+p`Qdv_#MjO5aqn%;;8Dt~)k5;rYSLiry{D2*;$QB)Y>^p&HmLK+31|xU=@x40P zIR2iuwj~el)>NL0^zOHl7V+j@zsuA-*3`2J&p{=<(|QFSk@H3KqD0TlfIf311c4M3 zWcFRQb((T|osGaDCfoy@L}&2_jN4Tx^jnD{XBd`;Zp3-X$40bCTnv-B!4F#m4$*=s z&wS^yhq1HPUE9;)I`fZx=9>^q773c^uIznWVy3LG4s$UKrtSkDvC#UhTo+%iBjG}g zEu%F!@@+YIk5ZTko;FsPj$Es&MD2FI;)m9T2RxH3J?xh<^?v#Nf|ag<3-^Z-&X@ge zVdnnt*k}3eErFnhn_RWa9c$82scWXHMxG}^Ke81XsCVpGqY1x_(2pkTgXNl(ZmX8v zjxnqygvcPIApzL_9CzA)w`9Biao;i1rcZCejUQ)m_b%D<&ru>yOZ&FN4ay92kzA*w zs#y8qrLcVnbB;GVmQKuc0mpS<)(mT91qhz8Cbm=xovG%N{_nO{Qvuju&M!o=E(p&R zYrVpE<-4*ocuZGhwSCdG&Yg;f|1N-}9X?T?_fLl9*VZPzXX01h1irG?pPM70qwtXy zUBu$S#&Fxacv>o$RF8Nkb11>BWT&gpas0Jo2D_b;8=c;xu*S*ZP@zEds_8h ze}&oNyWhwxWig`8Fyobnsxox{fEqQ%o3HQ?&ysB)!WpeBTO@{v!g-sqyqJAIKf5DY zE}}^|dY!K6)Z@yUBi$X_lhm)C@Q!pWeCd08fZME5XgWiv(Oz0Q;U&uj|B5uqnm7x{ zd0l|O8~PD!otKOLtEvx&BB}9KJYoG_23fd?h^(1_Tin8VB!PsK~cFMSfIAQW7 z7i0GKbaeISAI%pvjjpu*YN+_#KIGsw=)Pq~jj=HSTxaTUkGhKR?rH_kt+Q7}cM{Aa zZhY1k|5a$(P872$hnZK%FjUGuEA9!S>cN7gJ*9=hu+^I$OjIBK=nydy)d$4 z>ki){!k}mFhEVt(46qGt< z$nocunk+ajjb;g`;Te2!i7vdKInj>$U2Br%60)xEIHeE_buUKdL!>zmM(Jw&W?2I9UsPpFX;JeIehef6wZ; z9Ph=OjHc-YL~Xi}4zqX89RxAorCbGafQIA%j=ken1HjO1=z-`4U^UgiEkB3s$2h6z z{^rx7%6y=gl1>%oB(Y#AGLlGuwv}6vpTUg6dTIs3@g8{-CzU-`vX04%#k1vbmdEd` zaZm8Enm090lnumbtC7S8lLHZNi~$3tTMri8 zq+W2#59Z^%b%f=gf;Q-|j%VV%>!0^a0<3FmHW@_qm)VBnMPiM5bwaGJfS&HzU}zjm z{CO&N)7rvTb%)2YfBoCIfumMyqht;;?OoN5H(=WJnX>yQVa}(Jxz?ay-McfoqWNa$ zdt-lz66@9aR`o`6yRxplVyEuIo$sA_*u5ri*N*MN{xH-0!nvkUFqlN6G?Sp~sF3;- z<0+GZJ|>?U-2%O6>v};GiZ#ndejRWVv}o)E?)(trc=}i}R!djsE7S*b@EDy3KVps*yS+A4j*(p``{B^ zIJQ4q_bQ+P-YkG_PqNISo`;x%<@E4e*AMvk2ZkIwUxv+#y5m!G>BQ>dq{US~zqSz6 zmXOXKl+8cXIM89(F^v7@3(VkRL31Ik1A2lmQ5}0CVvWZ!Aq+>gQ zKDW>lGaDc2#kPFE5MkZ?=+GUaT!gn9t8chLlpH(udyRdUvCk~zF}*N9rHyG7;!^?C z@QE<$=P5Z!-SbpmKNm&9a~^^f$anaCcNbiaIuVbLnc4NO*7aiBm`m(42uc&?t&?JF z7Idp$g~> z;ok{)wU9$cm_w*SgmLKV5@U?{5!2=rcFnjzYQ6@|N}*;rH5s<KHiF^r!3;jQfidpGR%#mN<4(h58F2}l zg0Y#m7N;8+w(qIgxJx>6SX|#dmWV~QU3Aw#JV3cg{hn=Ssd;Rd)CSuS1{fpWR}d?% zkw@BPQ5xF@tam$c9?+p=_0A1Mp#B~KFu!eB?T%f_4AyiAV~koE5yWFL`5}sMi)YWt zV8g%|SicJev09D|Kf6wy)BK_@&dz)2^QS=F74yRbl_EHn^2zs3eJ!$`$brilgNy3L z$pDs21ZK?fRMQNCVO&XA>EI9oXCDGQHSGx-eKuEJs^m_Ptk#LsWDfmca=w*6(BLAN zOl-eGJpM{pt<%Q(%wxAs+f-y;*S-rVP#??ZRQRnIlJ zC{Q)S_|SZ{lJ_EiJMjjq)^i$w8DiN2iBE-Ev4#OT>^j%_=k%@E*TzhYXN)snBA4OK zwbW#Sw-0OFOo(aOwRF*v zhr~(C$kI%Z1nk2CH$b+5ABMHP&!g#$#RIZ01X%JX>V@%WPK{zWa5N&xJ*m zzy4d6x%b@rqVq_-7I_Yx-Wqe!S8ivuF&O^KaRdmuNs-hG>O1nvjiQhEA~*d&>~GSi z_c`ihUBSi_JRiP$w);}t4{rE=adx5i^Y7@=Xg*KNmD4i>o)ztA6i0|o6gUCV=46i| z7&j(kdv&5TSwtsuEk1ew5DjFEx?Uj-o&XfpR9t$qHN$1vi<^judTd*yamZVw zI|bjWzK||yLza#_KP(|^HP&5J#O1H+_*~cPmprZAC&28tp>w+HE{O%bZ3fa+Oton$ zA~NFsv&fPy5|8j@23o%i zm+Lj8Lz^KtTPsSZSA;fbl0^47pqy&brq_D8=@?2*+C!NVwM6)MM5bUFc^m+@-iG&O z0LhJIE$KZB%wCPW)N3r7ssVUUWX*5w(T+hYg-Vx@>}RyzFdeUvm-3&*B&H`lm$D@} zL>!)1`?)*DbsC}6fhX$%d~V$*!0RvWmbF~PIVn}PUHft8Ykvp5IkV}>;>$h@qQ;LP9xXq zm)t>O508pl7*tXh=llDSPm01QuWxLK0;`E7GJBR4xgY;n15WGo3Z4=ba$OHFWAGB4 zOE$W%8Dx{+4@a03GsKajmc-FI`e@iHjA4Ywy2`u;c7>{zYtspqXvaA2(I*>=ZX+Cg zAVq@Wxs;(k1RtHCnJVZ2j*#k%B*3&4t=sTbmd(Z1h}>bntU+ucFpMC$CU+v#xW9#D z;MPIaSj~l0ETqnrn+S<#7?F>sHw<;;>*+7v8dec^&k9;D1vkVF^Cp)XmNK-svoLcv zQKHSPe6*bQ?$K7_9wu8(oYe`F!>16%*ymM{&Db=MRTPdSxPKClR@@^J4Kn+8?!Tye zG7tvV-V0Ck1%8D*+&QOb?TWrw?NH=W&E>|IBloRuVdPJ{wQxzC_53qv&@qb*LBXCuuW5fAIcb?z$Ih`haUln1 z=t?pqv3f?@5JSNp4*jhIb2bl-8bgP@qn5P9vE6|zy76?uT$vMp$5Ks$`-G$qet{9b z?ehuvrfC0G%pUVjziZiNS@A@RjKVlGJ&|BPWd;4`zu?>bzKsdre3`ZBAp zVp>2`l%6rN$?AE|t3R%)sn)j>=Y9OA`-e|qz}YICW6ozWNuK!uM9TpOL7nEVrU7Qs z+(veq%!Yk{2Qqy|1DXjgYI8~EGng_opAl8E6<%<*2iI5&T#k7Dslbk}F_Qy1SQ$LA z`9?>~CwvxBuz>ija1>Esohz}#vZAE!uLRIi)yXs!p^Ye*-)tsSm@ zuQr9eL`FT!*RLzVLpFB^#sUqht^e5MjRT6oLT79`H5043eq0lQ1q-VtyREDtO0~^n zN)$2Tbg6CDt~oK!XzxaTCjrVX!`{622?97g4+q13L8v*9Geq9c(Bt1T157>F$7VRz zqYe|^bQUDNpttNp{XrMp{B7+Z>2_W?dFCd=F6%%Qw2~L(D>)8aK1WxWsE^WeCcIjq6D?Zb z?j;#JxUnJ1?&Y9k?FCi*V#-&`h0L{xxTWX15eyyL9|z}eu7gB&*^-N=D}>ev%X|f# zc$5kd3{2I<~vNc5|F>^qICTe_ycv^@~ZT{=cc{gy{t(p zWM5er=jIdapI&`vHZrALz3l!s@~A%9JOAePm{133CIg5v(^!E*Rvl5VISuBMeO1tE zrHQHqd8TDRDOxA$QfUjtScLHg&hFcA8}ZD8qi{Icj5uB=C&Zi=Cr%3Wy2F14Y;aja zbM{HF)yN3<#02Ltaj1!wh%Ac}9OI_5M^kRdLJO)G^vE5W^1*&zdnkk|;z96ZZFr+h zi=FZS-%?VC=7`2fXL+U-;-e}!WBugK6oH^ z=HNVX<|p49=S!vErc~FQll?(^5lVrp%TDSsw=zzc%UT#Ia%9bq1TS!pE7X&%qOpPz zoun-hgs1E1jbclFdTqX7jyaFz&GFf|@EkG1 ze1>di=WJ52#>6hv%R|dQ<*je)DSuSezPtQVy{GUlKqNm>Pf=&dK9GDU>p5zgwz`3x zp|Sb8_bM4moB-m4Dv602no}>mxl<9R%OsZ>WHdfkv5;ROv=Wsh?gd zzED=ovAX??xmeZ&?lao6^!wIHXrw3+ROEq0;pAb0a}}*ikor^4Pv-a4_zLS7Hn2?} zfc&A^joep3M3@eJ0F2c=N59q*jt{9~STtYbTN|7K0*%p!^m+*f6_?QTxaL&hjBCW| z8k8FK+%#R#&K)5Q5Il7sN3@xy^7FNp0;n(Vs``V$B77UsboeH@0Ouy7(V}dSSc5i9 zmWoc6*y-8n?w)t?_-y83gUqJ;9m`S0@*>(4uI0pZaw+jxUlXA->)(Cg-Htm%`|vcY zly~NjBdi1Ta1SH>?*ywISB6XZ=F(C0@}B-FiqkGycH`L9ODt$BeK`E8adg0{`rUPVJWWg-s)FRJkNFS7CjP_Q+=^3&DY<%+VI4H*EHG>^HC= z6#yLmCQ^ekGSoAB?ohD!Z4+e&b<=ONsAhMKBN|zoASAunE|ci;OH^Lu|H)MP|L^ZC zKlf0hYoVAFw~Ah+lY8kHxv@*CS+z zxDG!pZ!(AUP2^!vQEqG%l1UajH%VtP6L<)7`rT zv86Y#Cr7%wAIGZY`{5vj>@d+7{%px%{i##jk-|xQY5R@y&M&LWx4-Jzp1z#6@2c## zfh0&P+iAoszXp*#@!5FdnQTA36A+Y3O-4ftx`&t6?O6d@jg^B&MjLDDPU@-18Fp*# z$;I~$-{41VB*gkYb?3m^+8jOV(WP7 z7WP5VvM9B@{%y!yP$+Z*JGL-cKMtoQHvUyOy9$dJvL^FCD>P#eR9EAqIMgsarrVw4 zLNalS>V2Qyh}MK`@1h+Fcc9gLXH(C*dNqH-L}m9t+s7&kbQwy6IYveiJ92NbkT@!o z7os2E`N%N#*)QUE`(=N~(%cjK{nf4QP_fzlNVRv5S!_Lp{qMX6 z`PvDa9+|BUXYb*3(t(QH6$94OPEE$8cyNCh#x_Qko3Dwf$-NKPpdin)R;+c=iBqZZ z2&*RPgPNN`dECFSTR)I_WIO)`YRli+RL8RUc7~eG3OM(ngJCtn`!qXjmRR}yX!^P> zg7IQ<9BPC@E$I}wzWn)jiv)IZBH zM#Do@vcQG3&#T+Y#>%C>Fa|;h_mbT;xC#n{@0M|;GT2K8&|H5{ zIhw1fpeISK_(zRtW?>I}@@eYvA!4ucp)6Z+A{HAjH>^#sMf*6pi&=Bpm>%vI4b78; z4n&AjG{#pp?1iU1hojSEj-T*#cAT4Am)p-Y%>%SUNqs{5zLKqIUFjJ**s|fSFPjf9 zsW4Z|q6^%bLT(?dIQzAE)YG32EqCj%k+y%UbqZg{v2-?jHBtB3gL&WO9dEiQySJPS zHtqXy2KTpC!xGdU7mXJH3Dc-GOPIl!Qm09yX1$}o_|IYjxl8AQ9p%RWT^csvz*v57 zJ+RW4rwnxHcIzh=gHufC(F>JQZsxi0UEBVlW^|lp-*TP%ZO{M69@oNU7m-0k4Al9< zPr*|MkHA{71+oi%e*|2tQN@22xkJqv6llaz2jHj7)oL;XRtOl$;_2E|*WjqDZa4hp zrNREorn&rdoj?c&ZKw)I)qs`W{UUt=Z+Y97e!mUa^BcEtw_K=1lc1!iTlt02Ij9vk z)@*{lTx+Y-HosUTXJZ>_U6&f9jOde-b1#B#++IQhAS1i3c?<$kE(jN#-Hj(Vvh4-# zboIFpa(#Ent({?{H&(4cQ}egeR2M9cZaqq$+7 zc~okZ1^e2MD>4uNVK}6`v2N9oRX+_{N4@?su=KYvWSNrt=>1u8m(oKm8^&}rtwQUz zfUn3!MxV95Ig^WV5;oO_JDSf^bV@ZeAS7p-7*q>uBEDY?TW_2B8jl*?O)hL>dfpPe zboXdM+zi_#UROXjf4p%11rD9h`RVx;EGM-&C9WU@N25M-6hdOIxZev-I4oHS%vkoM z@9lX-<23_~@JjC=24(A;0)6@!sXh54cxLfZPz#CkK2uP2I>HfyAE?MxLXQA=1AdlZ0aWl%rZ>xX(6zi{ZgV1?92FY92a6S zC!=ryE^Yv5YcjuL9cqP4ZKWI^eh8h9oPfRiI@6&#+^lHPp?}H4y$Wu3WGbL^d&V^?L9h?@rbg0d-mLI z83ax39}A|}JQH;$*RNQ&^zlDwJrCm_i?6WvbJStfXeU$n%lGuEh`JD7=O}?fI?H@p z>Vb@Jk&Ylr<#fj>gwC(80?UJ&z_I{w`mKHDqOQind~c23tjU$q!TU!|>w815P1sbo z0hz%W!(YhDk~g?4;{VR4^^HIc*VqIDmBG0Wp2Hefvp*dOclcVjEO>eZ1efbs-<3T} zo-U|5`Jct3uk?Qtf_G4-B;O;epYOXJ zc8#$>12>2Ec=q`LiqLCJVOI*}+#J?$A|62SO!GO)pMwP&tdm$1$#n(#{1oqlRWSU7 z!tEl~tmh;l96fI|Vclq>V`qfB6K4$TvKuLjYUx8fKb72VCl5S)RlOXHw%SYf^#M-v_o_cUMSu_H8-_?iX~ps&g)7r(ByINY!x+El_+frtlW@^}$kyI+0 z+xmnWo#Qf{HD}KLUOwN)?+*{RnrY5C@Av!ldcK|)%l_A019|mUHBON9bUM|lsbjL+ zchYCh(2>jb-fMpH&d2|}gP#7Sd4zw;yi9H|-)oN6pfoUAi0!7v51*zUvX;Q!+Z)=O z%ANsa&TNMBN5(*)o;{Mo#lj-6;!2Ip{K@a4H=EB>fyAE}p^66k8c9W*?XN6Xf8+6{ ztF(9XLT9&ZrFyA9pPTn@0K#1#yw$kFdIsUU^vncQZbS~MPqQEURq<9k9n{XSfIVTi z+57YSnxU1{WXpdo=2`xasFl=ZzKec*b0Okm9B`$!N47_PoE_b&YHIJQ-S)5p(Eln zNMAqy8#<-3Gk6_V)2gluEgTit^1mit%^NGY*Z?ziu47Ch!zP%0g_!RiJN7Z%IL=%x z`HJcSD`6rIF19Mld1L_CT7M!=WB>$9b51mS;-Qpt;7Q+)Ffs<=7w3OA$%S={JSa-A zpQn}vIV-|edW$XF0zSN!hb1&Un;*W`+h(ri$24QWGsuyMQb!JC&WqxHHx&o&3flp!-sfgr0ahk~a+Ahpc&4Kq5ZQ?`^m@ zE+%Ay(`Vrs9;i1fOmX~0=Idx8`y|H+jUUat=Ua42 z`Apb&TP;P5r^}8Y|OlRJQR)!0UK|PkN5CTHhD+Hn^!;Zhkd?Gju z4CJ9>v3}Pa5wBTMC+Z8-MYOKsXdhSVVnU49C5>G7w`mCQq{&eH=%tDn-a$0y%;!zl z^kqwGd^v^y*zg_@4>31tY9U}Ivz`D%rLx)&T7U&aml467a}ez^JfclCLGTQ5A(^Jw zBx>Ppu|BkwX}N#Uz78AB4t6swBWmRLKxhyIqNSsaTCU4WVr*^Urqb=$Z|8pbZx#01 z^7Dy{(qC%&Y9UJzAJvVL(;0H)&MPGpyn8wB1XIarP=bS`TO`XH_0R!J}R;1(easRYsjoeXTre3;)CG5;@$m2Kkr`6 zIHAV&Bl&#qW3Vf3A{-oZYiEuLY>3mQZ{7&}lCOlNbF7n5tO3lC+PY#xk^5{S1fC#U z-qpaVH9u&}nRCBIqUz|xLTHe(+9k6($%SBrynsCdSKOJ%H7ezlD=@B*WbTR2WBJWn zYu;{M7k}kV>`crk!dDz*)`xF;muNU}VDagiQQwL%p683sQ4;#yEcOu_Hz)@)7m^d7 z)n&M@LN?=pZOnelNZkF+_VocT_c04WQu?Gvap%>{NM5pB;?}ixK?joSI4(gZe8o6AXgx6%g^RRTqlKgGw7X75t_6Bc(7k=ZRoeEH7H4R#wwt0*^K3{k_u@ z&lXI^nRz<#mUELYE^`+66|d-?cWy1Y&Q71v4eImym)s%?uIMw1Tr9xuYovL=E9;ux{lFBvbOJ8U8`iJL5cJ_24D6LGIr&cq6$TK9mo#JbvU z37#E|0^59@Iikhsc7-&v`7mDl#fk_Y%HqhkJ~UtDa&!S2+Ux3;6*KAD;Zq4i?T6p% z=cek*lmA9%P`&Efw39a_tVp6!@0HCDq>WT*9$D$=hKYx6*c^DHhUi@#(;CSqJp{9c zpU$b479MP#H$mW^)nZR4b{_u)LUJ845)#j(eU7cH60Cva{JSHg#Das3rWn3gC!7&b z7Kz;{TfSWb5voa7WlMr#j?FOsLi{t?Tm>ZD3-8`ZX1@_THoIYnj@>HG>95RH$-1!i zhX)a^Ta~)+^v8kG!tsxH2B*dAyk8L+B^n7?!yMS~KEIg7U+}xEaow%Q2 zCvhi2bz?arkQ{YB!}AZUvfv8P6Pw-iJVSk0ayoH?A8seK zY$=uFBN1E4QZQK&V>NBi^;+YS3#OFyrinaH;{!nTGh$5|X+C-Y^$1wML`vZ+pe7$ir|n zXns$l=v^s-NpGb3!)LlOtLlincpQUfF2#7Sn&#!5$S z>!nkN2bt#Dn*vKwmSnN{@&P1a6e4PkmwHK?Q0Sy7l-TmYXwgN6YivA? zRd{b(^r%}RVt97URNG&5pWcFDTa#JxrmW|Jh+o!V^e`nlDVPaxs30^tuV6r=^%E{|1s| zB`%DJ4aA0IV(Zt821X8=1cy0|A5N@_KMWfA4&45G`kO5UH+J^1(bu@u8uKcf>ueY%wTa&amG;={2*dU z#zpEm>YCHmt3;h%5*#gfDHJSy?JTuL6NuoX8)SIry8uR>$BJ8UX|wT;fh2WL$Nk#T~={ z9McTtNrKmCss$YD%gWYs!;r7O(u?EYpc?<`wXCI;G+Li?HO% zj4RvEr$~Q;-79l1@qp^#*cl+tRjw;<#JFuzEOJz+ZV1fhr`)8T=!Uet#c2E^HZ`Rb z7i;HNIZA#(@un^Kr9Pge5uzfSG~!cg>r{@#>nLs;H%B^+?||KER6t>LFXq2R8hSh? z8YwdEAQ5a-hMo)xM(WSxW8T^{8AA9nW%(l#8rAiAI_d~Mm1>>Jz_<*&-%UbnsQq6H zCzkJdw+ImPNc%XeMQrmqNGpt8^bFdbgcTa>QDvJ?sJ71j_9@HH(@#uO*k!5qtPE8x z4>o-m-cj|Tikc$Vs6{Lb^J!rH)bul2dVp73sFltn_k_7SuWx$h8=4nv#Zb~2!(toq zuvhjcE}*b=Hp15Xp*kcpRccNA#Ln6QSV^>&nOU;mOIA+5i*d7qJ+&esMI(#g>JgS&=Y?y_-qciEAQkLfw4N;!onl#o z3TvyjH~$mUj{g-HP5}^`STmKsp^LP{Z3nceYI(-86jy2$TY)&#*NhL$YWC56)nE4w znk`xMEO;Sa-ujZCtTTy(PCpP4ZFh+IOh{0_Or)7M5U7kbj|i(Ezb|YBZwY}zKmP|J zMppxZEuu}soWc`pbDOTe9rVB&^9Pj2$1*Fh>5_%GzI|}jQ_7uJx-CnW0Uj~Ez*8J?ZQ7W?g>OwD6I<}5t>4HNEF1=qAvUuPgzU& zYw^mFrIKk_{E)EjCATe#o=2`*b`tn*zm1hIz1`WoMsqfWzc6KmJ&2( zS!z+J=G}cf0ph*cT2;TZ><(ZqW5VWW69Up#B=6u6rikIdU#YPdFkjw(V{oqxYvvJq|IGI-wj{p@I z8mB3czA|0$eQZ3tXbgWLP#vZ00guKtc`%#^+wd$Htx(~9GO?v#(g2|ZNo>H+Pg9rR zER)Xp#K&sZuu*9IOzhUPi(!h{XsE*2+WMb^02Duk-U&4XV5s)LS%_-Kbs#l@Az(i?EGH-s)T@7hF2B86tyWFUc~tPR=V*7nb4tcw`Y7+Ka&fbJ(0JJ*dC!pX>y zr-T7qoB5{ztdfxZHGPFLYTB1%=p=O=3gJEc-JCp+$wazNYERO#ATB;Xg+(JXz=9R@ zGI27bPL)e;#bEe0)OwX<<4k$%3__%Fp9jz|+Iq%geiJaXl65hqZS-7^ai(u+!%Aau zd4PZC-e>;L>+jb6Q}32CyJnku*PCf7Ylr=Yi+0o7W;gw`+;v;=w@=q^@jAaZKL5BY zl|m+&CQ;8MEc4aobvpVCf$Cq2aRDL*Z@^e*&X(>M+k*3%Kc|4QdnTDJ_)}s*IATK@ z8xd^(eVmrh;9%^S1eg-@V~stSfS1C#GKKH?q@@S+nNZj_8jk514E~<(5q?ITe$<^63z{ z@pt+QS6p4du)PFqxL&2$hS?l}TebX1uEq}D)zWZPE5j91OC|Go!9?Mv@<;q|4N#|! zKlS0t2feWZ;e9ML|EGC5qgxgPc&~hQ=G)PX0#FwEW22;9n8sM9waAXMYVfPQj;H521>{AkFym90-CRYL>t>v2kOGJ)ZXbGR|&)Lu$c`4|YgM6p2VE^^z}FObp;(;&#c-s@Sfh%<4{AoZ^lbDTewBD zi8;bt|A*`UnAelSHZ~Icl-815%YA2$C{8W;zDT}tG9_AIi4TEVc zq!mDaJ$a}|;vT9)Z3wT`s6DvFd-V-@Oa*A91dRR8@RnjB!N$hUiWg3S)@dQ;s13+5 z7o}TC`Ag2{)5Phw-v8VNyeEQ0eDeXF7{IA(3+K}vsR4EUrY5d>Nt=#q!`pn!%f-ab zJ!tza&8ygSg7q_z0AITuOmRuv1B>|KK%K zJTz_#1mgt*$n-r#HIC>alr`h_vt|))@!+D9(g^^qsx_7latS-rvPgb>C*{8rOf7Q* zm=g$5JImtbaS=9S}-8+#3fmWHP8*t;Cbm2 zS{$&Ya&4PZ4i;L_NqU!ljSctn$JamI+i<@)y^u4N{~e*)%M5netuuRX>OzAM&8?t| zZLp28;kxru=5$vdxYA^@5>2!pW^FV@$F5fucqbG=q(3qzpo?jZeF!U>+}Zd_g8Pn@c~^pHstY zqaWFF$L%BopR&A%Ij+5tECy;Fsxu>PmTlCsLtvlL{#2^9?j(bUD{a;d8rj~Rh^7oa z)yD{I=j|^RYkn;V_T6Zq6x&L-wNgzdHa78(5Rb((^mu7zfMXrD>MCRMjW}pF+nzT2 z95jCZ`%j6B300WG6T02Dd}0eZjhvA+INH7pJf9q>KJ^{6jXv{j|BKHPMJFi`2cZ*! zlXdB0`;JON6cICCwHm7|oQHocSs?xf8!O~*HM&`)7b@#8)v1G_eFp!BH|@D@)Jx>H zmt0CC{lFWGZtO6ZfZ5Oo{k-DJYvdeUT?_|2kUB6%n~$Gd86r{yK^Y*n8>Z&Q(3H1{ zpyBU2=QQ8N6h&8_?@B&{_V1ZebK~#dEQdt>9BN9Xmfl}z{GC{6;=E?CO_(lWX4m8|Jx~5&k2M>)L&Y& z`$k|Ef1##Lw7ntPyQ8Xz?E;H|^-){^3)~H->x2d%jDraXsA=P|bluJSm|NT6R|GQ& z-ZT&%uD+*gnH(zC{NV2?Yr?q#zI0GT6A7jRQju0DnZS3)#aYNc==*q>St&xb?602j zBWd~6Ej$7IKLWv$S8YYjgu9lL$8hNiN3%REa8BE((1U>|v|ITc)w!**+D61^ zs}mGqI#ph{kD>einR05n@455O-ZjLgDtc}|Y@#XA%s|czXmE`xy;tjfw%(YpUBKtu zKv92&!G)uY?JQIG=3H|gIFg6?#}ea!scbSVqWw| zavtd?7OV_k`@YAju{d&qJvE1Fjk*y+*?t9u&)es|#R8AfB&ad2M59-eAI1se8rSV){_q}z8z@l?v6zl-R= ztF~aPMimSv;&0*$EzHVr@EN#5=ZM) z7H`ju<$q#lQxM;djed6z;~UW_g4r*CHtHam@>*>#VMNNf*ONZ1xymW4={W~A1DMH^ zc9(!fa|>QWRESt0`+c^y4f+Z73`0FM-vece*rfz|^$kp=HnL{L?hh)>qFkXI)E+@x z5|>Hb!BR*?I|*m|`49!8@AU8&+WkE3%TQg!^JW*EvF)A-gi*C#q{Dy_HUEqzat0z` zi&!LC)CDL6${Ut3>{tN=XNv?gt1>^T9QiDdW$UB_s(lSec6iv=&&Wg709c6%s5ynzX}YHT&*p9Mf6LQR{*hK_$ND#NErFS$}jAh{5#>ueKcb^5)Y3am682E$@t1LoHV z-%Bw&Rl{eh@U_MDTJVa}Puz5yGq4l}XNXYP485>@ zw4i(jv0I~_&QnKVFuW0}Cj)aadCe1nCE*4lmoE<(jpx?lY9Es9oE?zzO~!`d_H%<( z*EaPl3U`nFU&(6`@d0k%ME2A6yYL&#*0K)W_@T3q;{kn9D*MH&;vdX9{=G%NrQ(BCmi&Gz%CHaa!n@Fz$+nZ#KdLq3K?3E{z6SbpGVF##HcL}zJ z+s`n@6J2n;xsrQ|`}p2CUD;17@~7t^Sne(r_Kxn+NBS42*}?2qE-`ngRh7%~Ha9f0 zy$lOY5&W;Az|>rLgWEFu3)d1k8KxD(_Cy0W&tpb6e~P>0H0}xLO|5g51mQ)uswa}( zH-`tO6RR|Tu$TZ`XcxuA%64P_OuF!&v41UY2e_2DZ*D)n*Opy5IBWoN&T{@js1irO z%+>BHL?ZF09K(^8SYIN-G~q3jT8v5H!ZWxaD;)0zBQZ1`8yu2HoU1tn_8n80b)yAh zOELs|`dP27DX7bcwPDDD8czZIyZ>mKtEk}PKoNaNnlHt^5%r05rG~(p)HBvHT&aXu zv<@Zb4!cbS$l{bb=}f|ROv_e^3puxpg*xUGfeOYfr73!PPQO@DScOjD#VLAv1{c?2 z^mar@jlgXLI3|A^6J=ACl`3O{feoM02GJ8yin zCSdUwO63>OiA50eNHO75J1YV;r>Q$=U z2|ule=y!tF>cM5mLHdOJ_w|}rF9jZ8CtY6niT=xy=Za!9)>szB~+Z-JdI zW8;_n7;(;mIw;k2Hr^_uCih|Qmj^Swg!@F0t}@0&t6y&LgpOmuc z1B`#zg^1>f4_N(Up)WoDd>a=Gw8X2d6^X{dqydkznfK6aa${$e-H9S*zVFTTDtg+& z$KxEw*1>AG`>(b3_VU+%e?~IziMI2z2 zG0AFI5`_Odo3Qq>1T4)c#L<>WYUO&&a|fZq#G0{FEQGgJ`zPNKODk&5&?c)V5#_L? z783bzw&n*4Y=BR}+{#Wt+65EK4_>06Br;|2{ml)MnZ%+LC(g9jQn!od^U?%$vD8uG z0bTP%B$1gpEoy+wcv4^470kujIigrAEJNDH%}!(aVqPLLK!dpOT!(alSyYF%Xvu)G ze4Y8{E3~!qvuc)apnoin*16UWd~1N%$h;2!Ou75@we)kwmiRfiJK{EkeEH| zOzZIWu9{#sP-lM@wn_8tqDdyiLXLW@dk@n`U{A@Qjh30kk_j;XJ07S&Y{{c|%}D+= zs*AM>D=#%Irn)~npCscFu*b|vkkE39Q@f+4n!f_yREC?vFisIQkH>C36KhSx=$ZLq zJKr*ez#%KV5r5IUp~eW2My6%E_@G$@e8%}3B45YMp@PgMFk?;e){C=)H&=pi)niU$ z4?pla5#5ejvwZpUaVMc}AFmAdd^dp&SojO&cS#Po0fG8PeX54#qi z7qKygTDXOJ?7EipYlH^n*zSGvGvDb}{#yNg=2zCEGvI`cguOO}7D)tNB38CA>coms zJ2KLIy_p7nJ&iKg2nY)*Yk`fCnn->FEYAt%-<$mo!<0nY#+<}+Zu@Lo=5>kxSUadD>jPpKEr>0jgD1BK zj{Y)*DWqnS^D(qI_?T|g?&}X%m$9Ziz4>=3zvNih1bcHS&e5cicA;AFNE5bJz6Mho zLiHeE`8rymNN;2OFJ%I>gVjglF-E$+SVI5KZM3wCY@k<7w&61Q=c!k#BJf>#Tg;Lh10{Mvj*;F3hSVKs(c z9Y+ZEN27sqCXH`pF6Yy|~A_RTc&PX<1sdAV=2RXmgB0iigbD&?v?h6Nd-Q)Na~<_0e`ts}i) zPgz4c5_D%Jl2hc*eP%$lf`goDL~Q^NiKTkp4Av(+6rqT zjBf?}JQ}38e&l9JXHdQ|{E6mzGy!?8*9nDuzst9u0Vr$77u+)c#y?a^u70Ag{X@JH z0@tn(fyUF=K5=C~*v0**b`UW8`uK|)-}~1vLh-%4!CJV?2hG3w2;8H=51!L5>W^zz z+eoZQSIFI|4Oc1Hd7P;}y+&}X(Lz+1KQVM6#Dwr91KNblPj)wWR&AVdf{C#^3AypI zgm_a`c@hwZh?e6o-&JA5+lbmL!!bGFpKe;r`(QkdkI7$#86V)n_G;iNTA4!l3(Obl z=>3-4hzipRo><9nkRSk`@xbP~_*sG>rx()Xi@>^%+AWOOj2id8KmHW;U_p zFz_Gymv`S}S=I8k>Us-UzOm{z{gV9a{FQ$Yr?2pxo6P6u6QV2oIrQ0t_y^D8=$m75 z6#Gt{<1zmU<&a!XCsJ^6d&IvMGm-C$(y6JCmb0d-t|s>!nqSY&k~lUJD;$WZ_oHxf z@Lja@sr=o~_7J!>@D5hrQxS)~dBt`q9L+m@V9u}($>rSUj*MZn?O?o_VC*v2)p1=C zQ7csQJ30;7FS6#b0o$3`?5Sa9#aa@taM#BjfIv?K2khF7=SlYqk%Ff?Vr_B%!Bu}? z^vnQ84wUTo{}QaIC5pJ%o(~a}im0f{aEI`x{bp!;_L%5G;G@E~Q_HYLUwn*2(gbum z)rHitb3F-Xt*Wo2t%0KB>TGCn#aTOonqf3D0~`Uowe&2B`x#Zc^Sv|$vj}lwc@Ps* z>4nf~FATf#@bF~XGvs!t!3Kr6_7284B&j+?8<9uZRw@}e3@gg>jnuX|vL;dD`OH7V zQx|(uJL|+R8QHeO*16JG$OH6FdI~ObeTHyII!=OG0uwE zY52Oo5MaZ0fRPf6;j&m0NNfBE!=`>fN+Z9n?P8KEuO*k?HwE%g4Kfm@q4&v+wv4(b zxL01Yo2GhYi?ntui?y8mj#yL4=i@bUmGJX_I*;7i#CoY!z?IqKdc(U<2;b*c};i0ml zEDM5hL(myCnooM-Loi6^VBVV=3ib0ELA1f^&^fn_T1N%8(Ot<<_%G>B;xwE%6ZkH5 zM4at4pPx>Iz~9ajW4IDRU2-7Kr4wO%6ipWa#P2#XDp(L8E;t~W!b^~4ZNMLkX?ej& zGM1~>i1NwQ^vk5{ljw*ttudd`_D=w_|Htqog!B!Y?H%>-Do-_11h1)nDm|#S6FlJ5 zi`zvfrH-Fc%_XBi7yz-pYp7h>R|sR0TA*Oi`QLX7=JDOYcOnxA)-y>qQFcrRxeUhR z;ZPr~>JrT6M`1%JQrAn|cw;hZ!fiO!th8c9O&(4L)R|Wj^Dw#=!Vobg47^@pW$U}^ zYO%pUZwPm0ph)a}pbWZWEnF z6KbB*WJv9hLP{su{87g|?Z#PZ-T?~&N@={+E8$dh;wCl6zOkXzdv0=upQ&(tzF z4lIPw6ZK-pw;szpEQyTdYhW&}VkpFg>??fa$&FGS?^apF?OqF3IN)@7R6knJY(ed* zZq6jATdq;A$s9KjN0%9CP!G(&hKlNrJ%1~nAx%>CSLxD5?&JOP9pC!ajF8c=o+x+l zzefuj?FM;AEw!KU(1LfL%Faj2%Pw|OSIn?blZ&<*pWz8gG@s?db2cVngQcczm^>-#_hNy!oHek=dB1kqOTJ&TyeZO?0$X+DIg8fuOC! zz+8f7rG!cDH1-KrV3-c2H!9ddflq-trny9Ru2NnW2iJX4o5roNc&G(%BWZT~g?sCt zCb!tG)B?Yp-hw!M61hoRM>ExR018yRw{ff`Qy{_fLO?i|Lnt-O zep8#Y_6@-kxbdj4@bLhQp-$xXc|(QG44ffj`C-=OEUf(JQeu+#LwA9v`lpM5hPd?W!<@SFFpT88Yl^(Xh+nS7f~Nzk;tRNt zz7Zg&HhNx=m&_+jhn$*cK6gZ&dqxuQ%y3Q(zEtyRBc0ev-Jr+Ydy_s)1Sp0jrX1WP=bwDVnnXbmSY3( zG*&?}WIvMW)9hzj6*(++aps!2T@tZ${h zDo%N&{raE%z0~A?Ot!L%ytFliGVx5WLhX>8J%yZ4I3Bt|^@!^y-M=b$JU9uMz_9Ui zT%cb%tnsMVF$$4?8HyZ<{{H{%Y| zuuv-+u+n&CQY;83{M?x959NHJQZPMB9y_at^wbqrxpTE%3UFRt+8cma5qeqd5_ml1 zNIvCW+9tIP4%gEyU|Z|2Hi#8;;TQ~w@8s>Adze~Hb#kys_6!wt=kY{7)~ivsu``|2 zZpim+2vVzo>yj*ZI=Hk4V!ejtYUfXkK45Iq^e{DpWOfQ5Zf+gM&EW&pKcXP+X&blzDypU}wM$xL5ghD@}G7uKSbNi2l)_Ztx` zO#S@$=U&A*_udxM{ZM(7N+vzUs5NG3@5kws%KK^BG3aA~@$~cY+_T^;g<7!OhsD!h zVZxD4Eyr!Al9Bxr(syM*`?CEk_E{yT#yyD+C5~xDlh;k7P0>Q$d}wAhD`1!bk?#O! zDA3#Vc0*Vjr}iT<0bI?+`K$>Qtg^7YoFTM)z5Jt2vXPi)@+G|> z5N*8*C zK8|u*;d;2`P}0i29c4Pk&NpXD_nUqGyJcyA`F#v&AM|Ln692L`X4f|}7np8rOL_Pb z?)ThB6n`R>@Ul};jRbBq{W*L& zjQ<6X)OD2x@2e0h+Kc@;s-It?XHE_Uxzhkv>T&OHdmSP%XF1)4$J|w* zZv`>Hi#w~DpYnd{f3N?p@t5rPFPB=Nhkqg8!8<&bg60mC!k%J-pN?V46YIo6ehh>P zGicP@q#Yb9{%+mN@pR{%Avm|iV+OuVh||NLJYS#w@-uWcjUl47ja#Yj|+?XTm$d)pQG zN?we958NK(w6?NbwoEjWdmRZi0wf70=E(K^i@`jeuphYS_V{wN5P@S=9@A7 zCZSg3q;64Y;fgZ32Bobi|hP>JSp59)pdDH?Q@b|aeGQN4!13_a6*7!Cr7f1A@aovP?PKk$Cf zCo6_i66j2GmD=`-i_cg6x73*D|0}XiNxIL5A1dfHQ%>~u@UgwOvH}o|hZn*Zk@<@Yh-Y%dnU)zuoyC;2dA?w<6*W6NcyF7&TPE*|&(2S#Xl*AR^m7FUS`XY^7oO~y0IUg}#4-Duhj z&x7o#8VjlZW|DY|xi-LNPJByr3>*5f8(Vg~JoV#euU5K!^hAr@SsN=FEyhi}YFUQu zIt*hw+IBMT%+4o~sJw(+x|gy4(cNd!#(PBa{9osOA3ECn{NR?p%q8(#TK4^?2v(yz z$1ATS#9Z*KBgso1Rvl;@zSWCp_wmv|va22$K8$mB5t}s}8iav1L%d_3I!xM4GlU+} z8Pa268M1KBDW*S+Y=KRb(O6WUo}RJZ|Mo!^8&i|cVrohujdUJ@QOns>(}qE~uXh^r zP|ew((2=eR{tmH5sr)%>3(;A@7r^ql{6vd=Q>bw!Zr+Okl11`uFe{I4`J8bo!Z>=R zu;gHm>YAW86h2@GDzlF3k1!6Obo_1o;t(UpeEaP59|IR}VvSR@?6t7Ewsowz-c&aZ zk`C8GVUq_AefrKR!c}(V6`1#1cM#qSnT; z70e4q-c$~fEXcL`ulb53h>*9r_EVi|XTbt6ykn2lUxG{})?%@4S&<$s>H@_2*rj7b zBbT(Odmp(19)&#KO6|=e(>6BlCx=pTH9C;62L8GFwb-dnlt;Oie*oDfPHRJ^H*>X! zW3u;+hu6i$Vn*|3?XWaI3dssiz1-hX(SglwUUr0?cf9!aq3gsX)n^(!HJ7BCT*D7X z+RY800ve-tpXX36RmOVPT{q>&)>&#gcxMx~96h-A+vT2*j>w&WrMD11YTzFQR%ej| zh8=^ia)v`~)G+Md9L_pF_w2M7Cj#vm5^Q>AqcLY_YP@c#bN(j-5{CL2(Szke?*>p|t%uYOW zy(u}V`UW^){I=ux(M#7lD#*F`F-qNh|Ji8D*X5;9!{6^qX2UzR;%ztUj6WuQ78y>P zcJ3thaI7DBoW1${b&>A;s(n;<*6KL}t&&+Vg+4>KFZ2F3_>ZoQEC;6wgYN-PRzA!i zXq!-(P5HX@$blHa%f02Rx^@X30X}OPYJcXtr{DSrYjHHN zdX|-(`rZZuMi=v#xz&o^OZ?MF}A1`e2%UL{%Q@ok{%c>mF+e8z=%9rVG85#HlGI|<{k}v4>(c8ew5oMn4EY|Xt04m z+wvfy^aeRYtYtMGvQf){Y1$9?E~K~*x5Jr z8jU#m#Q`b23)K|&3l&A_#!P&)@FTN-D8zhU^3^W>p>Do6?DmtpMXl{U!4cTaUQK8l z$q!493Dt^5P9DNav~xRfuT71&p4E%rd_-l>7+A=!>y?IdUDz2}3_RJ70rAkMrNC=k znl*FM-wBM&+-O*B&k!u+cOa$Is|$`z*rPWdHdm-?81Fl@p6^3Hj2yQR{D*qNfy~%s z9d}^Bc-eddCQ|9zPr{QD7x?aYWY4z&j3Or|7%cwrWi^Fh#UEtAAE#$h-g3-$KwE#E z&%kS1tib;F-1@psiD!}|pz8qT@{)RTXIG-Jw0tI*sF=X8{h!K^o2U%pe+N-(qHrzN zeqU>ISkEUvK8 zadxpkbA0lWUB`d;^4U-!skyAMu2_qIQ2HF5}0IqCCIEiD_e1v%JGk^LIe z7bH#$1zy9y7IAbs^$4-^SM|N?ko7>Q!$9<(t>c~a+jXH98^AXFw5?w|zr&BU3 ziVUpnTqkKfe02@JL8r5N$!b-FWvAP2L{)28^y46{60U#4^fK3O1tE$!$l`@m;zb_?xKqa}D?S zYMWpngM}(MQv*1?@XXR+Rz7XA1=S;9w!B8PWzxhsv?q-0KODK#oC^3MAYrO=fF}m1 zT4gL9``6<7=69&yY6cWQ4Wf}(rg@}0xG8{zZbl_(Y5v`RR9J3HtT!!uBdtfs>D)G0 zePTnUDTLgBOJxvRy;G#)$i;nC#+7(ri#VGK7PX3}^AoO3`7a^D3$=%C4z8~f#n{iK#D6ct^i-|W96O*+nXJEEU4S^bDdSWkQ zdNYDu8PhlyQ!af}u80V_L`}|~L^CAor_nZk+0*J~C^amHxQ|uPZ2fQ7%U;q1t~jqc z&;6&hi*l(no>f=oXd_RFX>)RfTU=ey1YtKjY5P?=T2DTe#srVm&}-L-2FbaGA0;MAzlYW(JeV+W^9`^sX^-u!|S zwsc1#-Zb~itD%~6Qfow04|0vs{wBZ9+b}jiqV0M#&|7OS4je z!H8{;_KRALgL9?WFF7M%!tx0u@|9tjsGSbvqy+809MdM!t(RKJ6StMmB*sk~Yqv?4 z1tqkmxWU|30bT0U{ZVNrZtx@cz4rv*tCGR};7qPt2S-iNzZRF8z=FfG)WH1L;_*+H zVQdY6O{Uv}$12nJL~h?Hk0_YP)Cvv3#Bi%wtRaW~dTjgO)}gt}AO23?24Cqyw3^z` zI}zKMXU?@plFg=zzp?EG>B zKYCU>>PScxoN1(=<7Ou08iNoGq}g zUg$D5;5%JrqVgcY(-VhI4rssmkA-Eg#kkds^9Z*2qxt$Lc0R{Rs;LI2!Ys)WelpQ$ zS^=N~R0o-{f)17kw(qrza6`|uqcT}TcrH7|M10Ag$~pNAF&XGCC9ps{Il@0y%R z7ksSEJydb7y%Fz{qjg+EJYH(N1C)Y%?z?yMu-x+*S3zGX95G!mXL@%GizX)-2WiFq zZy&36h(a1Z)1Ys~4v&)4%H+od7ht|zKfm(#q^?-t`&x!~N#oW1ZX2SjISSWLsyzPY z|Dd-8_LoByvHtiDDQI&zZ^P$RoNxQ|$nc2lIaeXK39Ux3BT{nOqh=BDSIpjh$kJ8d zb!%9y{{Baci=LvanGMV5FoI^$Iu`xr3SnP~HA8{_x3Mzx2-d@5zJZOS(RfnTMXg`v zN9YIZX{37yJg&=qeN=`V-NdzP%eqW~g08aZPOlztvWi$1!-e}Y{vT)W9u{N%|BshS zrNeYk={N`>86;7eo3+HY%o3t#)`m_pY)eC9?sPt=S=-XCLxT{KL1h-1sivAGj6|tu zPWx8WnEMvfz2=_#{d@ZSKEJL zd^*)tZG`Cft_zaxVXDD2sRzq|4}s=X_88jCKzB>Omi-$U6cdIa)-6UxA8@--;}6jG zvf6ut??7(HH&)IA@zS5EhY^7hw_uDKP&(sT#OmY88(t4^Q{^YWq}5h!dv*Kjp$|8L zq)D|>6{vkosrxI@bTeW(hbo&2)>|e3B_;R9c-Q3^(3~-Qwo(4Oe^ufVcy>{#&E(zm8fgLRBzr3 z>lH0hPjdBb@Qw&#g0gKCE>@3?98%GHdzvfl#F+Kb#%%#Fb!jWMKS927@GYaesfqDP zh}f~*IFsmaV?$@bYdCt?Xy*ad?w{`2@%}-VQkH=8#mr&B_!=~&+(_f#t z$8N5egKg(O7S4r0flS9!n`c%J)UgvK*bghV%1|B+I>BT!|sBwu#=k){P|L z%C!C#{_6>bKt%WV@y8q8mm3%IUyXiSTh=d492#?eab{*vc{V=<21+^SrK-H7qfSwr6c!^KOq4U57o3oa^E%6%*&V2pRGlgpxDN30wM_HmQTez@x;aCMDZVsf2M&T z(V@8gzCZZ~rVrCj(GCxLQ;v|AXS?6^mhRorMU_?=nx^?3PBf$|&~5v>&h%);bX{BaAl73pS)-YG31(R<_7(+rZ4&B!<)4o7M% z05Z*6fMo#v;J^X&((ujxcrIfdezHcj__=1y^UN7tK_UBS9}X+8wugUucLvtKr{(}T z0{K8XWwUSPzsMcy!lPNcr$8m4HnMgTfBfBEF38=LW;2aW{NX+2SI3`2bN{!!fP6YA z34k)?XVaxy|Dr8d-YuhdF5P^Zo;WzE@4+gx;JLJtP816%8`ut!X}|=HWb{Ezc6KQp zcMBk$2C1^1R$#Q2q4iMvg%z6-Rg~SDi{xyaMox4!guamYl5;_=O?O|#>dQ1N!`*8& zN37KqP#^#X08YxTC;poJlKmR!lee&4e+f!&72amcN(AP~l?(Ama$%mh^#HL}t@LOV zf61=_wUNv~hwwNMi?ThgVu4s^9jtB^=|V)SXg0h(#4(U#R}wP^3jiZsD)BO9F_-eZ zOt<;o{I1QYcf=N+H5M6fy1<{Bin^8?e#b@f3f%GTjpVP5dxyjy8l%A~-Efn2<-O4U zbClGF>Jk!RAlO@-6pZ_hpqy5jbkcEiqcj0ZZZmrRm+cFx9BQ4uuyF8~DXtU+@#taOm zFZ5f9EsA53um)DKlE!G9e*KZs7slnHV;;X@ud;lRj7S(MR-kGm_vn+Lp4gTThM(z2bWr$a!tmRr9zsI zTgShsPeZf;`9j&j*qA~xXlW~d68@lVtW~$XUI1{ie5M&Rpmm8bD1AoQK?x3%D5({t zkcz)Wuvmc4M`JN`Li+6k@>aSh_gXv5w_AmU;6}+V;sYm~h|wx- zqK@1cR@Ui@L&A^WV5J#q!@v8|D^=-Og#Xm{UCF6 z*=d6(T>03&L{}wozWJp5y9kvjl5*^Ug__?xWi@j)kJBwZl<5@4X+pgBe!R$e^LJb; zq=0+R*>w5uZ#wndrp^Hj0$T0;#_u~MU-EhIjOozlnoZ~dt-r++E?lwM>~!M6!D|1CPg_o?0t^SbvmeIs5Oxe<9f}Y(!LL zXHoS$;=g8FfVa^*rfZju zZyDR)5BvArwyi_LzJyjP1Y3FHX>Lej@mqt^7(eh&YuC(0?{D5TgN91Z(!teI)vp;n zPW{`D?=o)T?aBOWz+F=LZ%>QVVLJWQt*Z9UK>roiC*8)jui*Y{r;peu#WpbdJXA5w zoIXbLK!~Y<3l4fPzUE4_S$If4I#>G^_bM_LgHDt#&2oeZt&R~VP`Uq~&P zX5b^HFJ7o9@M=>6E*@YI9wFbd@;eEIS}#K^xJHd%-hNHgx*p12``avN-WAw=KuXurRSz?;}T8=^sRp(*8QhK_cciC@J~u-cbOr_ zluu?}0W2XrC?6r&bzRgfzG7hdec*EF^E~1wflfPf5n))|xPCV1Wg)bkVhkySOLi@O zxUUVPz)q*G`u$MXJH>8uLWx;W6KnwxwF*lFPu_U5XXq|=zR-_9pIiW+e<;M1*gl5Z zNf=!5nl5rHM65XyAdw=TPc%}SK9YrPl9x1wV|ODCkFhOyz~-H8XJXFW`xDf`0qeJ~ zdzHkTjvJ{7ImSJFMKDpJq7|Ykv@D1hMaFP)ovA(|92$M}Njw_HR_k*h^Wu2%pGcfc zdCUb+lg|eYF1nLs4lfW(lu6BD+o%P)jM^l`?K-k6RX>T}dGEEr) z4#V_LLA$;$-4Ja$9$~Fj7D|2KoCFbTRA_c=Ko-bX4Zltr-Nswe*%-Z4UchuGc7b~= zu@k>H<7!Y(p^oYK?iP2(){w$;FJjhYon>Ord2yy1=}u)1GVT+*FI3BJr{^+V2-%tPu#8KjRTt{$u~y6oI_2iS#v&8wfF-w@b&ve zQoH*5H68P4&5C}G;p9sykm~ZANNmCxhRc||S?R%prcU-FxQpjAeYhT!?LP*@q5+Vo z8;Di>DU@94e%^G!>S?X|KH3-7-x^!crYQ0YsHBxhtniCU^IgOaj3B4J#Le%ABeI9Raog%!}?P{{Pfln2hzC0|^A zVStPL(DU@xWxisO)J4=dSz>pmi|^0U0zz}voCD!;^0SP?ThTr2-uQ6eAB25XhJ!b^ z(^~q(t-c%jI0Dr6`p&LKJGI`9YpsbXY|N}3cs(3s;1r-(EfoMVmIYV2?U`G2BTP>~ zQL|ekA2@@B%k#1o&<)JaF;bbNJ9yqgzoFbN2Y{ef zCYg_A9OHX&Dmv~TGVU^wLsP8ruTqvPXP*YEZmbGGKB*`#3Hq# zzCgpzgs(=>M{7mvHcIIdS6&!?`K(Idz>ObkeR7qMMiWOhY?~p}HX>-j`#zU=u2ys4 z3Gm`*DpNwU(&@vUEgflwQ&MlK>OB2c%t$XyXMTy?XsTv93{}oD{UPz_1q%c%_NYhG zlRph)E=HR?P9BckGJHyU)U_2S-lnnJ`#`bQO)az-x|N(xbhO7Kz?rC7cPH~}5izC7 zI?(7w2(&My0+69pW~1KxKoF+-oNQc5bx9^*;uh91p&L0J;LDPkxQC1leHkIt-?JK* z)#)rg0diGtROTRUrb zb562|)e#S7GtM_ohobf=6+v`p6}>WO&-j1}Mx9pNVwwfYNJ=Z-e67%SSm@kHQ-8e^ zy~KC#;XS!&q8WFwmls{QGTF%wW6sSJe`Cw-7d+h8wd@={7;Rq5pUiJZCP;r0ma?58 z0G+FJWBc*ps-YbKW0=K6j>`M2$5y2|MPyXMPC&^~uH^bZkk4!I4nw6$DBWE{w@+dFN`irIGm$yJry-SPTU~PCGgMV# z@9#=^%Qa^YPMWhh?z~Dlj#$-gY*)HO>{`G$3QGE64KMj~csuSrj|kpz_5EhgF=2yp zM0@eQxG%m6mo?wp*@v_XJ%PUSpLX%0^JyLh)O->lTs6YJ7!4zbjH!u#b)#H$T58Rk zfK?PS0~MOm_|YhA4wLr#vfG}Fg#i*X>M&)`+lrB6>^l{J{+fj}>w;AQZFDDopJW^+ zINF$L+`xZKEhLT_C-dA*qOaa?&5NMc(PzP{Fr{5i@w#zZZ70ZqKHC8m%i|6I3EOoV zk*BJS*2KvEj9{ooaclL-8hq;Wr^Z%~Z^4#?VF-nN|09`3{0STI=zv4RGi0Z-|FmIW zMUzl0Zl;&TDn^Dio>UMS52{FSGPD6QNNXt%V!j57Pcw40=^`17Y1<@Wu)IHZFwDSl zFtqKfTv$aR;2Lwk$g@5vvgZvwM^{F}xBX7~QyQY~DUi&24e-(F!Yp7h&|+cMdnt{k z*`+@QYA3sUnqsBxx^VTI0@;1hcm+N~-_CZXCdF>$idFLLh05{1EDd~z#zd@HVjcHY ze1hh)ZALtPsW}_H5xa;uEL`~4k?6L= zr(bP*9nbQ}+fkf>3r{Q@OYa|YU6EnAmi}s_2|31bfznTj84ck8Ned=M)%3PhIx(B0 zbH!McO$T7N1N<&!sy(kuro8{6XhM3GhVP#@W?le~RXM%nhKO?Kb{H6d{TMam5a*4n zwu7Hc{z0kroX@t>B-X@->1utU)3#Wuo9aNvp=mJ5hP8=pT!JeMe}WP^T}k9%psPV?Zfg^RyIattLcYGWHu$>hGUHZ%WZ}BwVW~m` zO9&|BEj2D9_jGc|7);rG@55;|6#K8Yt>I|zFFr5}RsXbtUP4Xk71{!VDL0JAL5V(Cy-I*}tsxq&la~0Z60E10KLOwS zr|LAPFWaaVzyyW4!iV>P;(%@1j=&zrzAsIXb)$EB zS{0=ObKsDmE7gi3whb=|E72%g(k#Bp3u%P;bT7XyEuuqkTAS1+f@Pdfj5hZP1fOUV zh(ry$g;9n$QEt4SiLkF{29SskZf}93bQkNAL;fUnfIIaP{gz&UCbGQw&rT`Z*>;eB zvu(%#mB5deShAsuIeXcF?LFd>?*loIoa`=wtcpSg1A|FCbKJXiQLG>rMr%HxcDQ}1 zNQY?CW;h_~NiV1w|MsOCr1nw{+Pgv{KE|hmP?xwhEtXLTAnSesJ(PI+k$vc%VS!c*0N;!-T;k=oKx`v zT8RHOy9`w*aGs_T9BkO|Ob`oT%o_DrE;Sj#6|fMB*mkwlQX)>n7vNv+8x@(r#@1EIXkB$-X2-LH%KxkHAd*p)+B7nya55kD#CPvmjD3$ z<-~H;kr+*)V5jLXrd{gS#A#$utFR&`5S7m{pon@2pV&&+8J80M&6QTft*hj6>`70n)S0*N*(Z)u zGRR6I#ISmA$rW-NakQHBA>!x){z7;YV6AJNr6G0D=Sy|KJDmoJ=8z^VJSq!Y3ua;c;afA;NsWi74v7=-1_UHWHxrlp3It^0buOd% z)TXC7YA{w4s8=1w?KFad1`eDVTZ+c5(>e++KEcGz(n-wuV50dVA{w;Wq@Z8Lxw4%I zYINA|@KwRXO)^Q^Hkme9p{^cL7+3c3J5V>sSjXLlTcm)unCQd;C|fxBmLoZagPmk7 znxdG%y)ReZiD_aKO2vc6sA&LMk!MMQ7}*i2pa-`?CJDv;&Z_<)R^U0ZFUqD&&;*{e za5XOg6Rsy#Gi_tvDbE}FIJ&dxuy8;AHrV=QOy^KVGM`JKNA2>SIkA%rQtSJm5UKMh zh7o?W(VF0Vhh3^Diiip=BfX6-+!d|s`7e@j*KIG}z5v3Uzu~D6)F%Q>$m-5oWLM%n z{OT8)hDN##8v-D25Nla&HJ44*2e_2&5Z%5J)hMiFU%PCT?!<}f&}LCBt7#J)^)+RA$;kyM!B-L+ri6%5x z0@0G`BEbQva(1q7qFgwRmr%n^BEKUzs_|Wo+%G>^(~Ue@vAfg}n%%PC72aSB4waSaT=W@8XwM8|Y9;R}AI<@ZI(r8eBni2d*v zMa!R(=_z#D4ajv9ICPo7x4I1-$3Rs#U<;l+fkhflVJyJY*g-B(XZ#jzo+VkF0zzEw zDN0&BBNwq}PUI~qH<-bRrOQN~sb2zg<~7MoTdD(bWX>Eyote%&q#+4`X0i)!D^Z7+ ztE4TyD^$`F$%0oT-L$)enm{)ONp&8e_7Mt!w2xd_pxsHYJ3`f7;aQu`{ldtb~zHV*i#d0R#yIrwgIz z9leY;Az2di{Fh;UU*NaULTX>j(SD*^k)E3StZZmC0L3x`7t7fB@#eTk881XHE<#6Y-+=1J-(2!#b{F z6SvX(D^wNjXIbsa`nMIvZE#P8;42J2y+C#X*QrJ6@{2SpqlfK7`l=8sa&H$kFInkA zGOAxEcY!k{T~_IU1+;_<3Sz5UL1YP&ln|*Cp$acmLx7|-w{(1FX&GqD- zQ<>S?sF(FiLj6n2-M??|?Y&!0zgyl4+a-b1>-glGKK?@(rsw@?k>rkA@ZH+Yo2v3v{fDc<@2@QsJ|gE_=Q^imkD@5 zsj@vb%*19Sf5RS?SmDmJ zpak0@X`O!z9V@ZKRBZdu(_I}_>&v{SWYDiAoui#mf!*A$Om6Eu{$pXC>BMc-)MhMQ zox6YTfXALS>Z(ai@3QtSH%lnb26c_SDHR-6gbeMAFpItEM0rV0bbb?kC7td>}9)8(t1k_2kZa3d;dQ@AbT-w z_Y&3pmFnM-zGv(<^Lha=G7vn=dJ%P7r>KREjPJM&)-+-@8@4Ya(ULIBoE@#p_!K{dn zyE*m||Lm7PUSP9ic->vouhN~p4Gw`D@0%*n#HiZnZyHa+jd$SiwFLV@PuK;P1kOAs%>FpDr>$}I;bpa#8hpe8L ztjdQgrb0GLeSBG9K^fa&VS4)(cjt&*EbQ`teKox76Q_gV6CYxB0cV8SLi?hJ@ZVN1 zU}?*T*^!;g=n?S9v#3WmV=@+%xctgbU$-kaAAWW>tC#c>-Y1q|Ey(Y# zJssFH-=_9?OONo6!G`0)Xe}DnZ>XPdj;e(O+^~NEfIQKtXdIA72D|DPYIl-4mus7&;s z50U)rGyNmu|6^?8CWQnG}1*gNys^ZUM0i>CK=@#VQZViylr>m>~YZm+omCd9cDL?H|)`8NCrvm=DqUYI*AFQ9q4J%D28v8R( zm|!>LJ*c0#hXD_`h8H~gME}o|><_JV9cTW%)`GsmREj3(yw>b~4x1Q= zd*7bie`(7}z{CKpMrjO?jSQ<#ukm8oP5Z6+^0f=ezuw*QN%wXsbKuFdQ(rC@oBjKU z-Rp0N`2+GtLxM^8cQZY-S(xu)W+NvXux9-${IkzSD9#?Cw7U|YF2ktA3CXyNmZU+HELCNwgB zpG-X#nw2=E3v;CQc0Om$z#wt{&B3c$s|R;QRd8$ib^>MJ7khS^au(zv_T<7RQ&xsq zXYm56d=;M0Ubu@fRdivU%Pp6;W_SKsdc1eWb;O?Xi>q3Z3N6xu7gK_+=J{E5IwRtv z3Ub;v3vEaD26%X%{IqlCeP7d}VzZCyu?qFYFSVCN3>l|D+IO(T?o|q0=o6UY%X*Hd zh2apqD9>cwAL3(V%HvzxZuEl1+BY)YO9t~bqeY(|u%RM`?$~INe66Bu2i3*0;ciUj z@1K)CT}B+K9#7ZFOU+NTEc~c*I&uRI?S=~#T1B}QYT~!#NSS{8__LdP{99sE?ympU zLQML|mXH;_kG>ix`HpPtFGzLY#S4^}!gHLWUn(H%n!5WV_loc__j z>%bmQckYGaBz4rU+y9ufNc!~PI*(-Epo!*xzb4StcW;-o{_3nRc@Q@9z+&BqzWxDo z?bI!w?|N3!XGH$Cs5shR_DhLR>H+%?BIm?y!*0&fzDd7nUiUY2*z#Y@=@}f2cUolY zvg-A=R-eI)lj9R=i)UJl%l!6UT;g4^F}nXy=$)EFiI?woi8_7eo?B2Vj@?+fDdwnF zZ2suND$jwn`+ffWuh|ymSTFKq2?*$Jo_WrGsCz@ui>mYDzz1)SUp%6+gZ>xq8shQ5h%>XP^ojOLSGH_qaR4P#BvRh5LlCimh^Ko@KouoZV*SQ}|{ zy^w_nStUYjov2R=PGBrZlcWA3ny>IX;?0Q~BT7yITtA%%Zmt~PBbg6WYv2@5gqqU_ ze{$)8qE+BU2-U))yqKCKwo@bf+E#a5m@n~6y3JdJdG}pE%qaUn`8Ho8ml^8}k4-}U ze15m|7ToVGQ9(3yfJ|B1mwz9Oilc5~Z^nunV>Fzjr(#N(7QB6+dHoGTrdy$L;myYe zTgPLYOpvhiW<AwXpB%WMjOMDnqdD^~r zXuX`A==+l?2PQ%p?d;p)B3_I!jp~$4$L1ct3LSXL_T_cnvb)d8Xmy9EX!C7C8B3t} z^1Yzu*^JNlxM2oNGCB=M({{OR%Q|8NIZ!v#1>PDJjhMwK;(3e6X#~soJzvS30g86% z7nMU@@57||)TFgoq>2v6A}!cu-y!t1yy>UgqOSC%(jAzyJFqzIF=gG@pBTCcL{=no zlMy?*CL*>X%T=p9ieM`RC2X1wO=UR~z)-RKMd(a*NpFjExG^Y+npB6w7*o(QF#f)& zUJ|?(Pw9!lt>yGS|J4nEcM0T-e;om83mkXyTY_^XRXI_EeIdWQ`?0pcTWJ=1*sln7 z#P@_AD_8BkA$3D`KM6Bx3UZ{o!O=m`rqA#3@{sFGrS+Cj<$U7w|JO|Wxo0F(^(IDh z=k$3>&bDWUZsBdf+V7uJLIYFZEXqU1G3UOgJ97%Vcn+pgd}?OW0eUy%)tEN4l?7vY zW)8kW;{j7=(gx?(YJ|}G5vcWog{Uu)xXCLfn;F18tscy$roD@w2tz5kO3-#T0Ahnj zMDRtZnkRwwfm~vR(aRzjJ2V|MTf0@c^8NeF|E1vxl#S82G8J*87W3v{kve}Bj1Fm< z4AND;&2+rsjm64a#MosnN82M0RP>4rNrV+9)q2R4xrcjN+0b6HZ?x0U3u2_>^Z_|q zPK(Gcj*LMpdAp$3n$KKZ-WC?wd>mTb-X`ZO&=(E?(rG!EU);YYEP|=JFTi3&(nNY+ zG#G+J%mO5?(sg76jK>QM$3V#n%JmR`oUB>cDG8M&Vs1*rXxFqv^} z`vQK=L}{d9)byYc#YK&1=)zM!oy1NNC?8^>CvuzJJw8&tbJIVPRhKr5!ZzkOK?mU=3`v}1-MA1A_(9S2a^nxm!Er6+C)Jpu05#G54--jrW`FV)}4s&(rFdM$hq*TO~Ihos{&>Scq=unp| zU7@bOLwB`;#n5jd7`WskQe3$Re0gZjfb`f@eGFoSluhT4<4x-h5tItu>PuL8%2yD1 zS*5%~D&Gl%%}g)c?S!(Gl_P88JG^N0rjO`uya62ZNxF9%9`(b`hTB+PofEA_3ZgK8 zne*cJP)x!B4{So6B0SW0DQ|87@SH+52q?`wXD;EEH2cjWIL5X8qyy@)eK_8S@W*8; zrc|35(BjZ`QXT&M!Gnoa`~I#|rZK zw%p(*v7g`FZ?UlWRRc>;uX`a{xF7H%dV$gjN!UIf>5I{xF-Yq}%Oo?XHz*dzc1anN zSOX$u1!+_M!)<`q1i~3I-_=EOE^zOIwMG?o8o2KQ8U3~*n{tJbjT@1t4pt$(sQR_U zg?f0un>m?S)TLQ;_cb?~n(zRAdg4o_8ws5&@kpnU3C-VHm|C`(vYF!v*Jz?bbQ4n+ zlGetbxYli7<&&0+hG785_vAl=?xH_$DIOs6!0Iasn}&BD@dsXK4|4RQ)W#~Do@$z)8KizcuI z>|2RhjRAOQs}N?5Ndkf2lDpj`T+zO~XndTawIY7d&AY6f(m1wOs;+*oZ(*ue&spx;BQY*&!&KKGk-eZiNNTZ zm`#&QZKY+OraSzlL#P!QfTM<6 zSV381q`F2A2c?eI9K|Y@(svVMlv2}u{U z3EJqbg5F@QqX?I4gl!@}zJfo2=-97)li7YSIrR!m`072{*oADrh=fakRKM6=IXS;$ zb$4S33R%M&i7$rAItm+?&%F-3i#Z+{_s*QEZt2K;5_J;b#I7{WH{=ADK5w$SKAQesBC@Q{86{5s;B9lJ2_8oX?Gl zCwk8FR+@mY2Hl@YADC9}Yp~O5+6Wsz9VLcdu?5R?c_+U4F77RhMRjwS4!m^YKrQv6 zTm~eeqkMSRTe-)PUB-c&76gly`jVUQ$Po^>BcfS+nhC0^6!t5jx}u#MG3{QdvJ8Sg z#IG2D2Ifi|LfbOY-YQ@M3? z?F7V(m@;cQ$DZoj&wu_kx7xcsTsv6ApG$}}WkTazE>4+oOPWsUM}%rdZ@@-k%?@Kk z9KWlaoAa%dZ4DAgl`w`A09UK4o$f@J8}9wSxqDz55pzY3jjkO3gc!jDj zS0rExwRILO80(d_DrjtE>}r&XRV=JO(on5qLqgHZA2#H@Y;?w1nw4LT$PqVgtXy5t zBAgIQ^hW|mY_x?x5m;fd46K_2Xz?&;H)4b%e5(e<#5@5*Zq5HXV94s4~C^6hH zU6dyJW;Cy4|q9777;(nnaUV#S|LJw4Tmx=9>aI4z#&!u++Y5~ev()q2oR$P{aXEmt<=-7 z_yWw>mJt@&uD6+%-p?%_ZDu=#9AR2j4(i~cEHzTo4bW0Sftx$GHn{nu6PKe3C^%qe zC(UMh5fEe59gtsE9RPjT7QX<~3Fg$)_&Lw(MZNv4s1t8@#1b{FG@c$bl~-)v{7MY;!I5vXrh_720Y z9%)iGpd(WJ{z|AGDkz&_6u6PJCdBO1(gd}r^pmnb!0_TZ-;=8~q<~bfag*sH0N0{S z;VlRGZbUR-&`t#uN~XWlY9LYiAM}nbb4K#jD(-ExjK9RpRARb>{;05}p2sgCdT@)a zAXf&o%R){!VXri0?PiV9a25DoF8rqkeh^kM@>!)X_;N#F-6Wg@fmWE$T*ylW z2X9U0S;SBaufZDfWT*PtR6`-y!3A~zo;)}j#%aRpSg14(IvdqL#M=j27kbvtCG(q{T|xx6ri9<`36!V3@S7qCLz{pk?>vG)p{z zeYB?f;Q@8O_t{9p6^!R025@3rRL>{0j5(j`lh%)o;5fzjiaV-f`c=2;bLifP>J&ud z7zjQ)pK#Rl7NJ=xSbHe#sLl-ddk*}461%UX8ROP$dO)nRtk!2)2hahLRKG)BFa; zBFkF(zpB-aCqh*d5!&wKwHHnes`oP;3pCSPqS72M+{+ztdA}pYUa|=jQ??l@LGM#- zTl_-PZ%7iW3?0XxO5BGey*^&3@qVzJ=>wR?wRn_93hA9U(WPNzNkaM0gw`Aho!G6^ z=-b#wB_a6J(=?g1kV(f`GHD4w!zK~*W~DtdfEP_1R~3>Sf(}tHpa?x1X;>m<(|jXI zcxTaZ6_Q6SfF-}iS0Z&|?N>jL^ovQ%Nv2B;Tfee&nxjP2#Gx5ut<=&^LEa~QA#(SAageE z6YDF@t0f~fZk@WgHm{KZucJ4#SRHXKIkIFYwkN}7ZspsF$(KI?9aVX2M|F%kIV4AsfL_29$VOGILm z&@KUgDKFuBe`WhLDLng=g>@A&dO>WAj8!aITA`8ZVn7@|vh@o~c3&_ub+V;=n9-w8 z`1c2^M>8*EO_+J*pP>iT-uXRK;wFuK8arn@Q}l>V%o30j>%Q7~M9S!Ov_k%je&WC$ zG?~#itPUN~FS>MtxY5zlt)wx%xMd$x1;t3c1GeSuU#D9TYo5Q=v(qD+UlotBvFP`) zd9|0CN6}3`S_Wf_=QwtL9Q^0$(%X*A%J!@|o&Rem@V`!4|Bs{A|KU&mUbLBoa2ONN z=0)gF`eRVw7Dj|~v|E{8Te*A~#X86f)4nQR+F8r}X5p-SFqe>8uMyoT*Q6G%;;jMH z#J9JSV~^-Y;_W)gHQYVBy6XFTRc0pt3you7Mg)K)P>c@OAUSi(H)DVyouU?ca@iYK z_pWPDy~$X)9hG^E3mCWhdEAkMvvitYM%{LLH>bUn^VmckW>keX>x<}KR3JB-X3GmE zR;U>6yl;sdtwYS(7a^T$jtwBGLYdQWU)|dRbyVt;s8+q@W_|(@-F#A<5qrPCE&1}L z?(_S1CGRuW4Wwun?>Ruxso9rAj|BiIjHdObYfjeGzB^TR39nW`iEP_Xb<#APY{Z9} z0MPnFcw|c_|B0U`;fb>~t)~j|r#$~K=X3Qf;sEI9I^*&j;dd_@U)r&zWwiz#aoxMOu;2 zkx!!(ebQXXEJCg^2vxQU0Jv(8?|XOUec#e6oLr^v*=oTSVh8U3O@o=K^@4PA#8(K- zucl*UB&KbF!+Bp(8hhh3;|U{Rpi~qiA|#mOOP;eW)wp zuIV0=SI+fF#y)r^lt*G}<6qBOSx5%&~RbNF2ukOpOFg=Kyorki}{ zZBQd1Bcc1X^g<8H^#wJXx6AZ9Z;5ePvd~e&;6Fl~`F{MTQhUB*oC=+k1HKYD+Ei$3dUZro-v%koU?u;mGH!!%|=MOa4S&gph5jZS<4)n9h$4 zQNHzfj9h8SjcdA1&TDuUk-p$iD1Qzn$|hYk7qO*{9t#wSmsV&FW(VB#`0Y+LNs(5j z--r7EPMrb+t$dMI*gxC`^6xRtAVg0G^}}3tePlLeB_dsGG*63g7MrLN zy+^!jrOAi|=>S&mN0Q?wy#yM6YC9F!xt9~MCRHQw-cEcstR8IBEwVX;_A(4xP3IRv zT7`Wp?PD0~2n*v*G75l;f@Yx@^e=E!4oc|?ccL09vGEZxwfyIl*Ixjej*`% zo3bTbnj4nbmU$$qJvyK*Dkwp1sKWFz07!in6;>=_JBH+$Q6OSwoXvmA539o+|Af>s zOKAtXoj1U|7Lkr6sH|nmTtHri zNbPwCP3I#*FmE6dqKR*;)r>-k3qbs~(I?hkA}rv}49JQ=F?RDi5qCC-g^xfc83~8k z^(E7akb-(+!`p+Me4rJ& zc$YF-TL=T2zA|AMy%B}B&<-d;4QFvyUSlMT z7QXKCh3_k^yS|Dw#t&;e@_O2SGPaz$g?+fcUoCQ89&tOC=xDjBdE?HWNV6uF-yw3W z#AS2p0Zi2Q^SgT|&vMc^iA`UiM(NjZ`s_5UCZR1jwk>2quXTD^34Y4-mI&sk9Rgd# z=$+~!CL{Hfu#B|QuK8r6c@eP*&uoQ@Zw_%<0}6{)2h%hhm@cAw=z`7kTW<@DfB&sV{iG8e*i@pGd&Ude*Tm0&+V7x^9BC@w^Zgu|`9LV`oxo@J-SryR$#Z z)fIX2LRW1%v7YI^jknDhmE^j8Eq;(aZeo^iNVy+!0i_Y6H=Z7Qa>~U!G zx`p0wpdmp(ROgxQok5rHzxb?w6=V3T^V1RJ`kagHe!8L&>$C2i~-|3FL z(8SQ(h)kSLxr!mkqjq8EPq*+F4kwE`o^k`u0o8kBNk+2hb&<{bf_r16YZX9v%Ag?{u0iEUK83*1y^14k zUZG8#z6@2t$S_1ox*czNFfSIWbF+7+#O>@H=r%&aNh=mwNqmXHjiz%Fh5{=w29){! z7H*jHI4tq)H0?3+Vx5m`kB|M&=9)@7uxO}J8&0bokS4@l;>~sGXo@{}_aYIx8e<%d z2vH5QY?o_}tN=vnjI-)EhF$WN2;a-Z<d{=(<`WD)XA?g&-MOyqo9Q&t^%A#BEo!+K7ZrjS3e9nUQ|_|8FGh%mj5c%A9gMCu3R$wE~oNLkJdw13w!I0(D2AqMOl(7?%gHW zHIyQ3FnfgW%i8a;URi$rp$gRn1q0ml2&$46 zcKe0w#ze=YiK1h4=LX-|2k?op4j(Gb4eck?jH3eRyi=qR=$^#mrESAM*MXS6&CZp)xM&w=P!qC#Iy!^T&6WJhFV zq;!k#h5NDw!3B{)x&i>Dryb^wuFoWPU?y<@ZYX3tFVcttG41V9OsU!nK~pU$!r7Z) z##p7bE*hbx6Wce8LXt44!H$;ApVvu+rmSzX19))#?SidDQO%|eT#sYZmp}k zLTmsydl8{mKgiII$@Q=F)P$p0y5Sb^l7g-iRol8E=HsD;ix_>x*BAoqsTtV8GT4(f zAuR8d0T)0MdvK#-h?3;1^TeE@0k3XR+?HY^h;iXMX*#ePW(2hBOXv;=XDtKD^16Z% zPt4C~fJ2=PEEj-6uZ6*7VlksJ4%2>5{EJ;hlRMb?QWt%LL#82-SZrR5Z1 z?cq@nz`E22&#IQr_1<#*a#!uKbM!j?c*3X>_=4@wAog2t1A_)e)@UoskvHq*KX`1? zsSxq82H`}~lVIVmQV{#^i06ZCep9d^uwFtOiM{$CQiE*z$!{?Eu?wl;!`s7@>l;5w zt$7F*D$|)kY8h_{67dmpN)KFJqXr6L0!Z4GxK|yvY3$a(U6A+Nq=zrQ`9rknj^g0C zOOJos^*1@=K0I8^d!_SSeh|5x-TT!)%2Nxe3kjI4?C*KW&;= z?7BH%772#MoGnD03bG$4Oqrg~8qM(z8QoTCIEb%(B5aLAio4$3yCl!5e|Uf%@MW@3 z#ptSuCuc7mzb^e|Wl8fA|0y5$-^p?+f4ch4!-xNIT(dO2>+N7AU;luot=dk(J>p0$ z8X){-6?A~89sSzWDdzjWq$a2Eou9LtpjG=B_g{WndPpCU9GV|gT_PU{!5%yqaqWwL zFP^7J-f(7ZRE@BVn#TUWi2C}t7We=E2uW%xHI*7dNQO#uv$>Cx3>~6q z=LktMBu%YdlA21*%~9v*Q*)oBcGAtVh&d8qdJ&-)tSjQ=h1+ls-8;6>fYgg2ufXv--kenQKTc# z!yE#4piRuoVsFNnP>ZH%w3?_{xC$+7i0~3+5u339ZApeS0MWhisIPUK4nE>6Ydl6- zK>A)LIvE zCY!%zuU^6$OcobY~GqdtpFBpJkN zd@D2a>k#D)w^B++FbJ^q2GJvBGH$=NR`xRR$N_9u=s~-;pYjbm1zEB{M6rxq_(@*F z9B9*taz&$|3Z6nxYy=5zG&W+YB$`5LpLK*Y#V0&#}9K zkEfRL%urf$T%ikRG;b?@35(M(4Hda%rXnC@SgNtUMv*OZ|Dz#m(W!2+fWMFnYvPM> z^Qv;l_h6~=F^fEAEd7;x6z<%t@o1u0?aqsycAjUL0!BI*$e9frWAox<3{KK%}fQ-9eO(L6U>4ZbB7I8s63lT z#pt@=Jc6T>Pa(En)^gQ9lLBF1%t^k&oZbnhD}3)RP~kS`LA!ZM$lGChz@J4-#zW)8yydu` z*5s|D688OJrc57-4TSDcvKf={ke@-%xRLFMv$gWUv3k)|$e%?T_V307S>!CQwo^5$ zZg7K}dS{#N3m1)E|0Lu;-;Ay2jz+#`NZ-EwhqcM-XGlABh&Y(~pO{;Kls`>X^r6gE z+khmhFC%T@;s3E4oGl(mM=DAKc2-oq9%U)xzt(A(}%)nj9pDIf~~FJ8Bmsvh0=G1B?=@ z55ujZ75TCyI~Z=TL#6C z$U<+ra7=Fb5umj9a1IZ2q6JMnNv4+A2LQOEx3(ALA-K zUi$|xTo*Eh7piAFb@%Li{n5>`_WpwxHC6%3K6`2Y7%X0Ay7&KI|Np+vyk$&*{K-=N z#mwd?|F5mQ9B}7S!?w>b2J<$XE|IPT59leJZV#ZPmnC0-Y=?}*`&Wwm12i)u~lAauMB%Zr+NVhxUqkIzS8lHJ=Ad zQ0sz|fgYGpC&)=W5qy%Iu2xr`dfw&p{d7XBDWp>$PEY|KKtD3O+XIDoWe_s(o^P3) z@I7HUZ3n+zUBwZ~qmfsAAV|I>n?^*W>z~v?;FyEV0ak|Nb#xDt!e*oNOzEm6S!l;B zbZbeLbZ566?y22^M=QepDEgF^N2OBwk6aEG%EDD2?de zD6<7D$lCPh0P+h^Pk=!fnTqyp$Lnay5LoL!wiYNk6kHIBzAw|gL39$82-;{1AJ;it zL8D{RLk~gxubn*P>L*D;i`BiZt~Wd9?|r+s@JnQ~2o0z7ur%~sW=t8Yy9Kn27z-|x zSj=en4fi!Jjz#Qto51i~o=fef3mHq}TC{RX4l9#w@mU(C7g5)pr=OwcH*`O>dc>L7 z-8}X2ZFbHYvmXf~gT$YS1sz{0wma2)pwp>@P2wrIyg6zbUT6&a0Ioi+c%&f{&xMOV z9YAF>ysUwo^9U6-1W}+55=fW%)r&Ia6A8~7LQ9@C%E@C)J>WK>oiPWD;!935W-}gP zRJMUW2~jYadqpm$8@Q zdqIQTHgJO%?^vSizO~rr!o9b;@h_qOiM%mb1zf*AmtoGyR>ch(bRufJ6~Ql zBkJ)>aGv*?iWwdx1c{o&zJKuNc5wx3!8ll`>#aiDS7Rri!`3(I{S@&Yn^B5Fm;*SE z*SkMo2CjnJDZO~=%Zj^%O|LEXzEIQBMQs@VAy&d&>X&@zd_uyvU`U;E(W(cu8mpm9 zruDT>i%gDeHgO!UI((U|v^ewmI!15)*DN>62XEJh#Bi%k%QmKSbTnF=qubaozZ7nE zGq0`L6+!-Mwk^aIw)qER3-9dPzWs0Aj{e+N{9qZgh(CpQ6rM^M2sMu zdQNQx6ysijA^HX15s!nsFQFA^+*v3`u}$WVOVAQ6*wez6UWDc5T*xn;iuGpMTwC+? zXk^XZ&hSmhK52Nn8NdG-Tf{^|IX#g|NUW20Q6LqKqh$-an;YT~+9&4ZuhwB&PS&?G zzC%>5-%iv*C3YYfFORA1;?BqZ;GKWd=8?(Dar{Z_ zSVz}<8$MORcjxUs5x7cYMYn&&jnv6=d7)Y-=_>1vtRo3=iL{=(cYB)izsyn%Hxzzo zhQlAMAn&vp>~SFk#(O-M?}6HEm7x@`0XuqLq7+XZvENkoj_(YN{PkDVel4CV#^3*I zwljx~t*L2MKGq6dKhO{D&Nm&}gPyGn9b5XKJ^u4a=Jwmr!*qe09To##Kt~m~h3C2B%*`6bqS}8*bB01%%6OTo*9ZOY4Z#L%8XWKpK{yt&ReU zA{-8u#7|GZmQ%uO@poFf9iAP@9uJX-3A?uMy9JFOE=9r;r%VXFTHbx)tgLMyW0@83+gdq?%WzTxJD z8f3Q&b1!>-|GD>l_xg8#R+||YXA?{CcDQupkW*TqFBo)3-PfB0at$E$)ee0Fb$3fL zWwVH_rhNIHLe5$Ei-W`lavY=_SW4Yv^l~fS&vE5c)e?q1mRkCPa&|tCVsp0PpU}0D zar^Hc64wcJ5dV{6IEXJZ(g2{WmDOG2aj{?}yStx_TWLhl^l95p%B(&W(@ocj6wF0$ zNGpZt_NWOpc=ElQMeij#@%XL-lF{mo_t(*QWFolI=A{6NmCMMuYl zSH0#IZbo-tY{BP>tK^ex&y*FmyEa!`_Fa9eU_5mn~u+}8i%zLDu+e&EZ; zX121NKa=}~9G_wI!PUEPm99J*fGx)XHdw`9(ulj&jmfLs<~)cW-QI8(SZ>~ng4nE>7?7gW+fwhfqkY({p>Vw z>H=Dy4&f~!<@vl|}sXE$TlB83^pkts0h`wB7CeQQlwWDv2mJ#ZJm`)z>!bzuUn zUn3`%J(Xd_EmQbkA{aKN^Rk(|qtAH(sKv&drb@0}#}Nju!TJ>4N%byV85LOgkFP<3 zis%~Gs0}~)Z3=(pYhwP0Z$QVx0>WOTl*pZG+H50v2QYyE=(Bo586Im)1c6lK^FS)v z-P^X^R4TJOz;MH+@;%wvwaF8>|7}(z52+{urWJUlz>#G8=Jn3k#3uA}37weV!pV#Z ze8G2Odfb6On$C;?h;X0jJSbqYfe+e-i6BZcwwzes!5fu=(WB738okh-rDtWTsj{g% zauL-JChTs(MJ{VQzU&sUy((bo|LP+kmKc&LWVAOHIHaRpbu16vIZzKr&53!(@i?7H2uZf)m3Vb?g8 zVnEF`WWSW}U1juO6rt>m_?Kub4&sh11~bYd+wO3ch7t3wUq zF5GWko((cud_q%Fc(1L{Vk`XLxAB%>K{?Rq)tj!$572U==G5U#<6NFCu+hb5lI0Da zVkf*s$*yc3XFVi2iyZNg#?Q^7aN*cR$Q!WDj#8{?_W zj+cbb1)F>3*+45M$RB#GV36s$6JUVOM$ebeYW_8Idkw*MGCOVy=@1TEN>EQbLbd>_ z`!sMkM&#d`lEEOf=O=7|P{R?@YzS|Ru6T&#dR=RmhTYsBHS3xFatF;J76cabv(kAo z_kX$^x#a}=gkiH^SHwSYIhK1L5@P%toSG%z*f`R2Tw{PSqUm>JKd|xak$pu88pjfy zx~ok^6AVEp#a89s@vSP#wL;Nx3&o^kDNfKvYsB^ELiNy+z}Van6r@$ z1~>-zHpJZL%ZM}-9rj=lOCtXSvZ5ez3>*F)OLEj${E=-QH8`;C(C?g}}&o=xF84k`Haz=tH!7zqZO zFvgX6@Smxti1~|gt6)=BwP-S42CKFPAv_C3xeOOflvYFUvVy8+Y>n8eq7oG={3R#D zcB1)}ddk@H|0K^U$SqI;6_-)?%c#F!!-;l49uvQ|S*4ytSfD~fE?#0}Lc*jMN`vp% z!?+S>H@Ho#L)in(8g@5*!-GzqKktY}WDlPR97UK@xYz65tgBuUd%^I=Q$st+nA-~G zrpaNdnQF9h%dnPUum1pW{3daRY#vY{V%;2p@SM=--#qAvSs428aJ1#8_W7iv=?>eK z-w-jZ;#lI%Av<_GuzaPm*!dBrC%mwwcwE4Wq+3y0^TTz2tF4@d$`4AXAPTB{&(aa@ zT4n_Q0VWB?!&1;k8WGIZi2BBCm#_k4l zVhmA;9SM8dq+_s&z$g~aNYrx#k7G2E^s5BS=Ei)$tzgUMKykFc2d1`1JHDwch|TzS z4AI6Y#glna9Wgi^lunevmAwl)kXVplTGS`+>^}DJBreynsCYqBa#Inu(Wu*3peYY; z3ctvk)6RG6+Uep70Qd+py9@6$yfPJiW##b~f*O(4)%&kmIG%+?*Kg+18jF1aKbY?8 zLKny8f-UCS^r(LH zwc3I>ei^!eqCRnWSGMe^@XhIHe|AF3b(I8ZqM-NK2k8NUbCl8 zA2By*b2|XD`uF%;BYivnY4VHYwzGpWN;fxB>v4*l`zik+U+AN@<~u&d0(2Hl>!5;I z&R=KY-6)y@ z{@7;t4j9}1M+)SOFtklo>i;0>=XC7l=O`=J^ zR-Fo6`@ zAwt6Sh>qQZ-^}ZFa(=(!knCr>ojZH)&H1um`|3N!?6qd+rZnl6&%X{f3Mfs$)ci+u zPnZrfz=Wpa@hC?n7RaXI7GN+#85ly}$iSFLR8yeLozVvr2d$VZ3dc92EkG&fSz~=` zP_~Lj!I5yxBkS|QFnzp4D9rIne8XOiHFhqFdc7n3soY#T9RTg&v>0?qCC?dVJ4sI@ z>OuWWE*7bhy4MzJcg!ce_42b(GcnQ0K!+RqFOREA@i0&o>hb*%77ad>!wUjI2QdD& zEZ=iV;`pzTzfhF9Y;wXEzVj$I>cI$6Rb5%GhD0_E9JJ_ zenSB;p$Ays&2{8>C7a1nq2=`||#*}xc# zs_-9H%1fA`qq_{T-Y~LxqiAnkh<}FQKmqP$C+-9t4X>>?0#AI zWfi>7X0nm*REaIPcpbLI>nMB7LLtv%nt1_8$gD;N{luUg8nHwzu0r1lnrNATh6auj z6X69)c9r^c;4#t(?SAu$n2%8>y9F2>5dIh31^@antao!hqk+1;}% zaP#^x8kz$IpYtTW4F6(`_|m|hdW(-Gg&$)${Bz67y;i2QKm@YogR_zSRv|-Hcym4M)&jtu z!Oe7KgsyffnXXhrg(t;hZonhsWE4Q0)>Q^A?y@9Z8eb8CtHbi?6Y=Zyy?%9ATb49M zueQJensB=spGa?HRUfdt2~MeII0XyV7UarkT;PVH#5k=DO%apz~dLz*@_M7_RECCRROv z%%ijBwWb{T0j3@Qr5wh@{j!C4fw6|*8K*=2p*MwmKg)e?+$Vt z=J-I%n#w%Iclq?NFM}6;#FO0$%*qDw*iZST4&){MU&Nx@-S;J^`W5W6;Ois|bsYgm z^m;B0&@gRig5J^i5lqnFKcp0Ovq0-bv5{!#XGD`2%Xo}*7FH}l1*;!HW<5K**bbcT zt+({+f=|nwh_&zqI>m+qF7xC(O=Vh((RtHkHAX8^)jQR64umd44usRA&b#C^kt^{F z4*Xr6^=FYrJ{cyOP4ZG+B=$l!y@MB^WoEkhgX|4-VVv{=WfUvJvAvPO#9GyP^t;3k zeSho9VbXr3#Fx2Oh9~Gv1%)y{>T6XU+eSzTY$CyY|&Qyd=Oy0Y7q8Z zLq@Ee7a0>g6r3)O%AZy*Kjk*r^oU`Pa||!hk|wnviCl_O2Q7@#dA2X4N0`~r#Vy8_ z4c9`D%zM0F+rOzZwyiPLzi+bwfMQLl+Me&SAJpoi z3EW|=BkaW6fL41!A_=G`eDG(t2`6m9&GPjc+9ci%RFLgEUh7^T#(vD1 ze7y1`&-yB&*v+4b7i#^KLhw$!*O$SV90^MIiyblwvF#Du=fgVTX~NGqcfZW#9v-U8 zM3F&u1*EjH(a$%Hi^&(HKUUD#BTr{fAZ>Wb&jbBXN299SF)Kxbbkmw>RvFHMqN?Gy#3b%k_=> zwsLgHB9ED$XMP={V;o5%1Wz~pZ-CCT55&WXkO9#)=~M3{jFv|*uizr3v!MP+*@uT` zhYbbJ$Jo_sRfsrUH0o*B>&7!wXsa6K6S-wtVfx<7@3wTR^8Jb(4sP#Z&G`gRj-^%S z&1(0NTXW^XGR(0AH7e!y<=}Iikfa^Ts^og84v#oU>7XTAjQzHR!9b6rM+y{`4bZ%i`sUo+A7K^1>9q6qhO z$@NsI|EwsDE#>w$K&g4E0?OuquRRyF$J$+%zwiQY%)U^xwIc%y8(XER7O?a68x|fa zVtAG)ORt=}S`hQeB=cKBp)Co*g%^i@e7j<`HELUr*)NAzmRjUp*^{W3@PiJh!0c{8 zk#Pq3xYp6xCar{QWsf@el|7c*rXc;$_c^g>T>bi6IH#FyC3A~~Ynb80ZCUpe-1031 zQZ_IKe|AJDGKWt~?hO0WlxKFge|@ZNzkYf}JGaUZV=Cq)^Bp5`_&O}=hn%~KiL&S4 zkhBiQVmw5{o(#OrA!*PUTW)kXz6?MkO2#`RlQF$kxEy^Tfwp+T%e|#s{l*+!?h{c=YW$JoqlQ=4=kFY?PD)dc-e`K1&{GbRyxs6PuL66g zxshYX|F0TdHsQ-5p+7V+p!66 zV&(P~d>4qPNRPfl(Enrvm<0GNGz7RXooJN;u>k#5AX?I(&geCJs(&hVhc@tIa!Z#6Q>=1{3sLzZHg~d_EMneObr=X`f zglMjdYQmd2SrF~Y9DD{cfda$ST3}eVO~H$h7-kx?N|YYYJU}0_-?<I0ipirV)i1Y3*f}9(bg?}14A>Y zaC*LHG1KATqP#*>lOE45x&GnE-7;eYZ{pJ#q#C1TId}!So#YA=;uiBBCgj8w1s}S! z;0|?o3H{qWL<&gMEVJ#`vgern-ydQxya=Od+Zt$s;(7Q@EVv15R8r9X`ebiziHZ!s z_C4TS=SC_YZp@gu=CgFYE}*pW$cm|t9M{h}5&5_2nf+fMr~Yf^1u_VUM}6BXpymq& zyu?%;&5g&wYf_j3at!%5&9eFr0B2ScTr9ObxA)Hj zo}k&Mk_ctfR{6d>gPf7s^OZ~*5>Ig?{7o@JG%BQuKo?o!Z&%PLa#=78$pbFWkme=k1y?+?*^t(*q(?K~BvPFkF`9x_)XnId!#Y zG7|T%8Sa^y^MQHqNh#2LZDED)=T-%ujN*3<|JYZmQTK!xWT@e0S>2}t@=DRkZ;K9& zY&Rv{VgK>VnWcV%u9!%nMn&A-HlV=xf;FvKt#Lth^gqHb3&To)J)`OPg5nx9%&-%u zYvgGn%6z#dn{8E-gbGjZc{%Rp9sJ@J;E&CWjVQ^HvdZuql5E)wyf`4$N_jk7JxQ91 z+=fCvKuJ=c=VRsDte4O}ZXLh!>ZvO$<282wjSA)zv}>xnjG&(Qg%9gjV{@as4F z$QjG9znuOx`^|$le

~)2630Pqt|8yB8ZJFK0&s`BVZmTA zQ~LnQa-PPG!uKC3Qck_!(9IbbGS2C@{pjax#3RWG*Wpop#@tm$+;S7HHv|97&DAzk zS9NE@Ii)uC-9tCW@O>G1*OwH<9Mi8)>2ngHM^ta}3gI86m`cSpcKNmWZvLiooS}1p zs~IB7V2jba^$1Vn;CbfC;gEapq^+$dmjM2ZM!swwxQJ#VJ|j0NIl%>9U{Lmi@p-5x zKzn*>inu7x|9iB=XOwZ;uj6)aT-FjJvus;=WcbmyHkacsAI`Zlp?#9q_od&1mo=k5 zI!7G2j$55UG}qMV&NJ_7xgP9pqk;4Aoax+->#yFBO>FDgl^4xu0j-()KTo`2e)Ldu zomb(#;6ZS@2eT!g^6(AtUF0B&Bh*a~va!$L)7{0{lDWUas>!D=+Te;WyZh00l;nL} zyvNq(gTIgZ^ReyE$G2}iV_^YQJoU#Tv1)WZE3I45HF%vd4VN2tAK)a$aT6y?|BxRP z=Q&#~y!4yJS>+YQ=qEQX#O(lSeHS~K6v@yk!?r0pZN8=kM<`eLAVZTA$r4-M=f=h*o7ISu8D@;vgVBq9fQ zh3$C3)JPF@jhYfXVU{q1KMsnA4MdpFnHvF-Kthx#g_IfVRv1fXr(L<1esX5f_ZG9o za4J-EdP4vfeAk`_e)Qf-EDGBSKW~A5yEL&-d-Zi}_+`HQZi|+6HazUpwy=sT$Bv_K zkDBXV-9R<6yzSLHJSrs=p8`WSvv&7drbfm@*P1<}EgEtATG#NpM!DcQV+w9&)0mkR zv1w1!x(DjE3uDa=;#Di+BT1WexX119e^He*bglZVzrxP*L{$~pNt&U5pE)}@Z5qe+ zZplou^BcSiHmNVDZ7T8#;MpPs_rX)gIX&Tck}>)55#Kw_zjSU(VoT&rbrU{s@!1-Q zcvV|=ztNm73L1>geq4ErWB#o`uX+OY1;qz%)rh+uDdpDRkRBFrsX~U*CF}{|`mIp$ zgK2#YAm)9BD$_NoHzxS07WmdqYjm6}rq#erKuyIOs?Zne^=0U+0mN3i2))y(^#1fP z@JFYTovj`#pGi6{kjc>)zoyI%-?7-ZI_N>l46j4uw&pFp>-B9{25{?&B4orpwtrptsU<0sweqgkD(h|H;_oRQxs6ppFZSLj_zTX1I@oTj-amSocSiHD+^|htPXWWFo8RPw;}_Cq`pez5`|nn~hey64)MQd^mR}|I|_0v~EUZ_{(F0^Wz)ik|xa@{kKT|&oTDMYZ#b+nLGh7wA?L1chGTq z%Iu;s1(R?!2g2*KD3HtVe6T{ss zxtXww{~hW?(#pndneGj24FUe+!OqbR$UbJ*sOXE8jHB`SldfFY!3w-=%DDf@dvK_G zpAwRyEFudKPZ@#`!JX7y9^(l=hcbqR;xX3Xl{ajBuo+3b6#!9`xz!S_HE z$)76TUZ~mzu%wsSSe#PgVmMFHGPV?tpPdlGK@%*Kv0`J>1m)AFs zQ(p(ni{XH+73f9y(p4;Mac^vL{!Msn6M$Us@t#x0;Ahb_Tx5tvSy?=f^2nxTmM65d zNgt@myrti#U3OVeJ18uaO`i_4Szuf%ZhOgAx)|W*JLNxWif7FdzEbUwwRg%)i@)qH zEL;73o%=~jCzD#`M>rye178B4vIx6QLl@n0*5xIfZt(LkO2^1O{@N&yZrdKA7rhArjBoa5dfqnHG#|3 zSszvgvnIHS-PO|sz7F2-p=!_}!Xe$z{Sw)C9vC@}ZN^QwTt5pKV`^Q}DX9x8Xk?D} z;p7NZ{!sX3tH=4aAY*u2aPT)74TG`?!g>?CQSHb>ZpL;m%+c}G-S6rjn_v9TF{hxJ z9|A-x`q_4Pv~GAHKu3eR*9H8}XlLYAUVW;zbpLxqAZV16prN+s1+)hyp8wIM^gjF zTlBb3JJR&*vAC~~oB+ke*ok;0P!JAbey6I3^4Oz*sV5Z3tW24K(Z=mK%!-w;5ZqkL{d-#8SG#RSXL(M&*lths2gbEfk6lE22S-;7vs0J9MC= zcg6B^;|_Ez^d{+`4cI}lsiUA8Kh9V$IcX$*zL%m)}hL_Cgb zt~*|;8A=n?$eZZ+96!RI117qr90;Pw$z|&~nan-vZi&WYAQ(n!r=Iz8EDy-2?XnrK zV?`5vhq6S~L#G4T*q25(HxxO|n~PDkt7T^UrPG%b-QqE7zpEomw~<&Ro#r45;?2XA z@O}S`iIjzZAe#Gb4medWrhZaSl<(~dOfWL|%~5duo=W0vFkNR%RbB@ymZD_l^in|9 z)~ToEkTg7Q722l)pRgs(T4E8%Q-hmaz8{mZvAZ{=aervdK4u9dt*oys-ro7`G{y0TXv)mDz^cG+F4r0XuaJFBr z_*Q1WcD2ZU{hQ%wF?(T?$&%a5VCOC5Ix;hoBoV)&8CRw6%5uQClJ{8%N;D^B?An57=x!r91cwjVGxZGtom22Y}Lrv%LF`o|i zz9ATYct|QQuFG$HW4bx^U7hJt-M6~8Nse0@I?uHp+L1AMGItdxyyp+IJvJNNmeh~h z_4~B3H50Z^Ouv7U)pGH|GWYjRL$6~x^5V1PM zSO^=(L&0?RYZTgn<>fujAjP8L5vQM*dct*`qEGJ1G-umyC%fchjy(>v2bh`Wz!*<} zo1IGBP0@$fvrb0Yb;9%=E@@{&6((*}k0BSa31=fs+0rbF*ykB*1q9a2nR@~#(EEPbWNAy-|H(bY>fTuJ7t0a3Tw@Ove zc?2q50SszLHkZ&Z+My=Oc>KR# zf=!ZdtXBd0;v2owJAA};JQw^P62s}H0&irEAiaiZ)yw9Ey(Ha<4M1t4b*Z&(7d5#I zkZ8sP-EjsTE*W-?EI!4To!$Dp~b8}4+m|u8Mo0B*FNXZg}@|m zq=J+NqYc-)ndau(2AL3+Z;acZL>M!lKM(3YUpe@hYz81@HWkJD37bn%XxD(l#`C4NwP%|+*Ql*#~htHPd$<6izVr2iNF4O8)~W*^4)bF z4eaqmYz6-X&C-W8n&3UN$RX|U-XT?`Bt3AAHUZJPPGCE{;Wlh9{0SAEjwkl&+AIl1 zVH3Gfif$~THi9=og7&Or5*UHbzPR@Uc-JDaLno0bFh&l>*Q0H^jcJ%g6Wj7zYKj6_ zAWUsbXn0hPb~uMv%c1R$3_N8SF84oFM-+FCMhmVy#)||XM@WLBA}E@*?=ag*fzLW?MC1PK_ZR9bvJB(PJ|j+fNC}m+Fs@VH_8VM9*EIV zXbUQ}@>73~I3HWYYu3x-Xj2c+Mpyr4x*@e-Hp*Q-(IztH@-~y#k5aISdZ$k(49h$| zBou?!+F-hI*DLZbbHk1oys#Uu4=^bBpm7VHU^tAel~&=8wsZu}==H;+u@ardX?kFQ zRy`WGZ|3_#@Z?~aj;ch=Vg06P3azI~8d;Ng8`{50&V{7L9GNzbLfDry(xwu@#<|=D zK=(X@A=wcBw3zKg?5WC$#9*-RM9jy3FPRK0kMfc z))E^Sdx!soJ^r(7CU>Kkg>D(X(c*0Q&kw(INGmED*T|g0cP5);jC)U^oU!KyYl4Z% z_JG1Y!jhJo{-E=H+1$T~N%yeOj!XK`Ljb!b)t5&w&qghI!86x|uqSYzJo%z6Nu%>U z3IF@G@zD(($-ByhjYZ2-kEFnrWNpejCKNz4fdawg%57|Gndg2eoe8=^F?7(O5`9)b_{cCQ4DF969NuStcYF;%f zCnCPup4isT_lb&xM87Ma8MdncW6v)g<`B01N_KUS5UR)Q?jbNhKx%-GPT^jlcfG5o zS&}bl6OjF4Gl(i|Ruw{uBL}Dw`*$3p0iWeEEsYGsbCktQ$dJ||XNH|=84Q>G@+vlb zozA=1E6`Os$La#MeIOIP-Y_fsO8)0F76lfC!D%ds4E)k*ZgC9%){Mj*-yp7l>yFR^z^LbXDPy*_&1P zum*<6FA#GEo$IL!&XfUisR*eN;~ouhOo>N>`lM`p9XdSdgbDRUndf!vt~P^})Kr|< zmcz7^&A|&*>Y63zwS%h+m+@W3qdkNLCLUa&ZGoklmd{v(Lfw$aI*nKarfP1b8h!I@oBOWS!)I`&Z&pRQ zfb~z+4k2XjfjM*#Z%!v+2`8>|iP96qTl-}eShFzEbHt*-J}?QpsC$1kUQ2V}_G^TS z-}&x%KKqQ!7T;Uai;3>_r9*D`Fxp&?bO&e>;K{5_6rZF5L5Hww(|ZhVzQWszw_qZj zIG4fXKmQRtxC{+zrd5YGvQFLuvR+vk?f9-h0m_SwDr=eIlT-E)51a_zb6cb5K=Wc#JL zNth$DV(w#~c3X(oLF&On4<++72>(5kD!64TzuJg5t+L!(V;Qv602b6Sr`oc72czD+ ze;Vio{%MR!Yl~2Z(>Hb+HWW}s`wtbQp=vef3uv?9_9<#fZ((z?%}q^Ez}I_k z9&dW`&!Z_jEb~VlvTADF6Bw6!cm1N@Ber*~zu=KLZ{4_z$(xtc?0CE38h0T$rfbX? zR=0=hvb^z)=Ppwby{6yD67)J_{K8v2S6sU0c@%GPA&3+lnl9ei#amyXNy=TVc0%^O zyI1#YFCK%fjjP+&8<*1X>@}~)2&NCS5lCwD(3QaHmdCaV*TLYzmOq79P>oODtD`v` zh?@9tBqdZw>-rx%I-f|I3#d+l+7s226k3r$T`525%N)l|_NlC2z{~zj&?F0O2 zvt$K7de)fncOi#$U}R}bMl~}lhzw7YU94m`#)0cJMGZ}#J^^V$XA;3d$w8C&di!S`$^jK zZ`N^9FUkX%S3Y#}OYZc$P4A%llv#T$2U60QdpqO0Nr{-zladm--}(Knjqi%BLXKU~ zeO@tf%TBohsSy#ASsLNMb-i#rj}p&pX1g)tSlNps{UBG`-jMwKn3_gDbz9ahzLnp= za{0t?y^oD6(hQwuT7H$^YemEa8-H{Mr`2~vgbVmH4mg(k)H?rW{??QE%Fj>)pY7C+ zW*waW$k}7@?wZinK0%^=&Jd|w? zT$XkK?)1PF8pN^AaPb>wAPFk$r}%WEA71;#kc;(J>eMh-?!#W*ILZq%NtpZTo)LI? z#eq$)@yf&?iZ(d2_n*E7b``y04x;tI97Q*Hlkhpk<7=OjPF;8y+>CjY+#4t_%;Q5r z2H|}brz;4G+0y2)iXM7cecE{fwsYje$&$rQnb6ga|v zdfw4K(0xcWb#!M)`(P(alIHc?YR}M|(Kp!pht3{((bp+I{)E@Sv^nr*@+J%D`iQBU ztw-iC^T^q~5xs3CK?UHjl>dWezj24CL5}4!&AoPG^T)j|JlBy#UGi}{>VFf<|HN@$ zSX;_|WQ*LrXzGJr-xKpBZLCl!0}k#}QAFsoL*L?~gWEX_2HBWD{PctWfjGa*H!B_( zIs7LNr~U}z?v)6s|CRUnxy`D2uJxQnR$YxXv|dU%OLhed1HV4m`@{6F*}rD&{^gDz zu4S9exhB1?Yf}lK2pP?H#mjWfP4xf8)0fA^w8wv!HkBHRqHR!wBwJ<49E5a}v8SRz zs3gNp8k**)w9D8-SA&qH8nltjv`ur}Bo*3dHdm;04w{bU%(>5}-}8H(KfGSNUNhq? z-|y%9S>B5sClsblv{4b`4s01-Bcb%}#q-$1W(pVB#CQ?8RRz12_53 z`CeEU^{Vyn$s1_QOR>wxpV>Yuq5jV`Iyz?;3_5;u!NXLk0S*(K3f zEViGf@&B@<@pCp_t4_f0G^KqP@8P$fZ}YeCDGK6-5($c97XiUA~h@Ob<7pAsI zRNLnuC4+(t1WO6zPJegQ-|uB%%s>~|f3!Y0pcah*0U&m}8wDC<*R5i~m8H>@&7ebB ziX7CMw0!<9T@Rk-jpjq^F4H^c>K(Y`Uu9%jh#SsN+ymghDdf^-t~(kQreGSAq1TwH zvI1FgY@Y-Gt^OcZL{Fd>1EXOKfb~P=JLk7Ftb_@6$-B5o?xw+!QyxSH6^V~sAad%c z>20bk*UD%W&DJ+Yh1xafU%Nio*!b)Gj&-^}2KrXOqE$q$WhLIceLy8>7S~CE5+aX!T3p%;ubR^J_1aFtXXJh>+jh-y+lr%<)qgsU-C|2>J`oL~*75;9tHhgY`sNU0Dt8*F2=bOt z?MSg(1{nr2A@3{bS!j4aOTE8Xdz~>JtzP662?`8&NRl#*GTy;GoFk=|8RM6R(xKYq z#4L+bI44ALBjxE_T2aGMT}>nl4Al<1e%L0!eE40P`Z_tO+fxt|a^}-umPzBo;NBou z^L)f~-NrAmOY}2i^`D%7db#NvVkNVmgM#&@s8%(- zR2j)P4fklkgV=UlBffI~eWfE-n2&j+qn?>iw@tsMq^D+kvP><$?3nhVPGom}md;mH z-m`4we>x*!cnZ}u{P|M!v@^onGO8h9+ll1j@y|+Mv?2$E9X*>`4n=(!<5b39bat_R z`nidFM+LVd@~7W-YxyGk56Z)?Vm3lJulsaHPywc8R(~4TFUb;JO?upToK4zwY0t}G zF?2P1E&u7WTYCWKUw6K&YpbVSRj&bn$Cs2Z)0dkLZ(30GfyKw|Qc6d=q7wxu;?3 zhafUen=IV7X}N9;n{bv@*x8$s&?MK^tU!sSnt+8cQM>{9)#ULi!M^1 z(JaBECAzP9EW)|1#^(@C@2Y}PIrfB!&JQ=jBPRkr8bHi!jrfT~(7KEprjPy;ji9CP zVkm~5++2Q$x8cTNgZLaMcr&pcG zS+%puzRhOpn#S|ZR+eKmCW32_JthQA>q$m-HI3se-SYO3d%Rx_QYPsCt$5+b5_f%$ zEUz%?kHXiOiY@#rqo+we?EY8(p2qK=VBJ3%&=E=$uH8%-!(h)~1}E?GF?Ksm{i-DR zXpY~8DfD6(Uq+(^kFr$fl3s;c&s!6;V)E(u^NaUhJV{Fv%O7-=3R4iw$2DN<3t*!s zi^&N?lyO$<@uIcqoZQK8!^-i+j42Kq+f%-&cEr^`uQHvza`lExTU&Y=C3#8X`E z=T(yrKDE`oWIl#g`p9L_yFRwA=Q~j=0RvyB@$SYepl5 zqd1p?Kkm}@qO^~;_8v07%O6|9o;8<79z9M|pZ*h6(H*NR)RzF3bv&*SU-KoV-r`GHZEQwrODzl8gP90oww|O=ba)H~=WX_wHkNfAa zF3kGAlCG>%^he?1M{tv!Xf=9Jw%w;?Kmq?^5*e?heZTz|Yy@Vpn**`_-#;!q{m?Bi z4lkcw_j}Jr*PHF9r(G3udVx{q+C!NJ!~6S3|1Y$r48FX9e*-Z@!wV9A=PqNu&^d-; zf9RHjz?an+`tUlWURC`%mV$NixWgW_HzwIXQ=Jaz8!tY3RPzx(-G4C5D`rqBo&vkz zQkl2|a(npOqm6%i9v5Q)!pxt$N&;8s+kt<8*f5=McKPuoOma6m28K0(au(YtF`uA*F)3Vl( z)puN4Pb1v^mWQgJ?_c~yk5`2jcZ~75`RNmOT$mtoJ`fa>N?Ha`W{kM6H?mO0V?=&h zy~}UbP3tAnz5slwU&{)6?+*uJ$9W&T%^L=Ot~37)t$n|w2#QECF{kIGQv9aWC2O-< zM8qsRldce_l{Iq`?E{oZpBpxF-|^W|~{4Zv4?!rgjP&c@51)m@ZH03;C6%^}K;RcpGImqHiGyT)VN`70}xQ*Qc1 z-uSTaYVgS-;nnGTs_2!xg-&R7xOo59Nu%z=gAAs+rrp-8ft2&K5dMiyT0{P;Z_-tF zLy@$5Z3pXq%x`-<&>aM1Ts`}Oxz3`pCvC7ya_Qy!&zfDE)f7J`9q(1!;a;6<9t7?U zj|$E3pXzJekzc}LU+w<#`t{3(;~j699{pcYtF3~i*^`d>QpA73 zd>`}k2L(!KIdooF`3~L3?#Sqovm_`nbEprFNdtolJm&j##b`j_`;d#Vo?13YV5tVG z-O|M-M^VEAx${#cGV@Z@oa;{vE*m;s=@TiZ@mb>;%RXEW3Vz|DWY;&PED`)ll$XrP zo3!gj*xj{NO(DxTUIEHO&^t0X(Yje3LtNDyB%0KJ5L!9Ay{t*W%fi8Rq2D+r9_s?z zCq{UMv@VUJL8`E?ttMiyieF~MoT?d0@F0gcqXo= zHpZY9bkpaUs`s~vJULS3u}qw&svf|DRnC&8PWp!CF1dLE7P}g#gipM|*QN?JWt`ML zK;@Si)85U7mI!S;sM3zZa<-PXiN-;C=E@t*bpRo&R6niY7M3p&w7Y;|&bIvc!VYg3 zXo8?nSQURPhe0#th7j=zW@?B&D1Q$rnYB!Zh~eqw(8%cJGIE&2@uRsP7BjeH? zw00VICq}PB#~^(@;u`VT;5ARNTJG9b?$!xigcYPt290g*^rVnk#2~HDU$xV;Hb~g# z3zymDC+|*0@t@VIy6#0-EI8&|s1oZS;iw@Q$R<|q!^G7|3O6uJYL$xgiG(~(ld=>r zyB3|Eb@a4sx=BphchUIvlgHcAM&ce!J1Z-~vbaZXOEuHlsW-7aV|Y<@PUrVNsvdjLuq?(W__=%n<>|rNWu_heia=qzSx3X z0n>dHn7&BKrAo_Ru#8}be%9V&%=*SEap31iB2WIMuH#bma`^v_j`;YUkQ3>0&0;hH zk|(B=1q$9ruXt_L00XD$njj^H*^#dbNaw~V1bQ4byrMs`SdhyD>fvCNvp+~2xG#2% zF>Mf7qlaJSN(Ei0e)SgmBFc(Ige_Q0VM@AT+7}1Hx_Xx zzW-(B7y!@}26r-kEL#bxH8n`4gC+jM9|Pa_x4&kz)GEVHl2LZq{&&ApfpQrED@@An zbd%HiFX8!WjyBbcsnF0*v5NW9fzGAsK#(nY##`D!H3}?CWJ%o%aHEqw*-8NTF*|_z zHt`8@p@3Z6+|QT`K#rXn4-(WgSO@lUqfWglSdhP_@{W%gR=H3Ib z5t06hu7k=8{?oCi#!wQZI3N=VXPF*g*;GbBF>c=mqcl%)9e?9_)>sN)MCGX|X!tyg z=?z;ja6|(+=!Yv!%9>OpnnE>6t2+nzsqGPG%ll0qehcsbKFJH#_D4EYZrCKpWsDH! z`tvbPW9OZFZNAI}6KsGxjOM-ZKrPWQP+=445EroW>X50#R!tyy5Lf`S%f-8lklrOX zJORgr5J);#$ka6=VkY#(@8&#g#KKg9WRfkPkOZ@THv?`Y5trWyDhZjWLeQD1KR+U=E z`CjDZ{gB90W(}N*s@w{V>C_qz-UQq!L^qq7@Jcq0G5gy#o<49Gq0dDhf8%n)PXMs= z&(s9*T7t>}bM4{pTty#EIdc3clOsxqbw=v8a$+}_VuDY0V&#zsfZ$IO&ER$MU=A&) z<0l!_q7(ahHiWpUjWGtMFz9Gb_6}m7YMG_VD4_riqo;qXaup~g zEMc2)9D^^kfvzo|RhpeBJ~#k1S2aD6H-WGO;~yCvw1LM_%{JL}$B5fJr|r;`8%XFY z?T@cCMG_hIG7i1k>cs2|cLpUxbtT}RNbv84Bc@~EWlT92bA7Ge&n`6#bP`?3O++vt z0p?^X*%{FFE^V^q>S%95u>FfS8SJBOs+e8QO1E^t-TA2vaT&iqv=nz2NX2kmd(p9^ zZA5~cc^b7AK%6R$tr~#-H8Br!l=2+kl@MHioWA~j<0nrz%TPvyO-mi}#h03ce-j ziMyw~K<5%MieilFsR`Qm`D$M1&j5KoIf16WrOie6@H=3Us*^EVB4ZIi8huC$ArP@{ zi41#(-Rk(64$k=NhbpEep;E8cUM$&(s7vtF%*tgGn)pQ`H)242nTW&ljN z-_V6q){StSbHC_R(jP<{J?(;2EiQ$vr=T-;xSE_2rI=W`;2vk71~sX477}|`o7E*ruI4D-cAm;*JhK{# zwIJ?X?5v!-8qSoaAqm>$qvEHiDn{6k;rw(FjmVPI9e}eS-XrTgKtF{(M*B^HHrAM5 z!vM{B&2e&4dGXsp)89NdBKJLDJw^{@mNuDkBGu^_D~(}I>olzr8RG-B1E7@5b0C+N zzB#&-eqS^V0@!Lqe#5~AL~sH~V-WSI#zYNDdIC2pqJV1b)_Dq967YOxy+!uYQenb7 z2UMq{)pgDNA_vYpFHcQKV7O@Xd(fRO^i;1S%v5ekvQq0BL0W(-mcIovI_+-SM2obZ zOmBAQ#%NYiO^`X;SMJm}bMAPph*SiCxX}T+8L!!@BECK$=3%w+VH6@4)Qgjbvr})J zr%mFFVw?Vbkg=qoUzsBym<5-a=4Dr%YyFz~9o_kg+!v4}A%p@+Zy8b_e9P1A;yhHJ z))u1j^DLcgrH@27p7)kzi-eg9MpGYHkNfi_QJbY=1BNk2s7$T$W2J`r`yO45Atx8+ zprzTd$5u75WTy=QAEQV z_{lPRhBXg#_;ETvW*`mt-KbHfyP2b6%Y|+tsY*14xFMd19CY=mMon+Gcpa6ExkEg| zLw>FyED!5`qnG-S<8oAVDUvK33)i(kEo%ewAW&>h%>u`jkj1!j!l0RvDm$gpG+)O1 zNH5tTE1{>7`{<`cIxwi$+fCFeStkQ*MEP>prM`sr0p$N%@2ybgG^PFEPHi3kk-LaU zRXb+_w%P_(zDo3nH|-l8hHsPM-7lwLQTg%=IA=69OFoz11e3A>Y(U{z#Y_wt|Aq`y z%%G-yDa3mf>&B5Y+Vz}v_-!mox?12t8h1Wbk57nVvJ?dNU7ouI3 z%6vZPJhf7dB3r^2a=tS}DTc~Dlia8oU`_>3&qdq?>VdTc`>0!*phR5Vloeu$i%-Qw z&HE*s5J-GC!uIu?;Uun&Vi*D+t>+{v z&qs>0NlRJ)VXG1t6S6hhJEEDybM;wls9HAm3oJQU^LyDDOWaO*dFFk+OsC%^&M9Q4 zig~Za<7j+p0y!OjFWik6*SO*a0`U6kl08QIN|i{*pY+bD15(s-VN-?!qP>Si$R<#& ztZ^~@Mv6L?5tqJO#kAw-DOk0Z3jQdLNsm-!>BTpzy~DLF#_b>Bo_vy}dL9=Qw!b*~ z-hrVD2Q1?MJ-j$%={-BW*Ywj4#-9$yuQ|~+;-t}}eyc6!xkm~fYqR@3?^3U_N9-`M z^&cbE7&et_3}PWn(-5vBJO@-Fd-6FaUe2@tFi)&Rn0}t?$Fw0L)qi2KjCpq~zg zxVLgmf`XkmM92JTV7j!eQ-V1 z=N!!(s+n#{*>G;L(st3qsrN9cjQ3UzK`jveky-Vhj>8~5F~l5Z38v&M?O(`2wh2Eq z8?_!BumOt!b^*yOZwZzgo#uM0;w{&XSjyLRTYzkybFIT%oSDv;#ARdl`Re5CI+WNp z9Lqo27+lYo(#NI43X{F@GQCH-Kf~JUvW_p5r6MDEx6?>D1)Ndu>JS@IL=Vw`MXSoI zZ3mLK1zi`W^@W0?g9C4Ilgb6L4e>4DeB6!QYG1M2N{HV5Htdn#pN#jaL`f>7eY3*3 z@0m)FkZbu~97R7Jf?%uAaiaNLdl0zU=~&%84*Xn8@f`K#C%ES+Sn(rjVO0@xN?!^= zD~{KE2S>B+dSvtF?p(d_#d>>>;ECe#m6q@Z9Dr8nN!1Cg87>rvr)V!#hJheX7UU0} zXf-6F2W0vLUEx+MI3qJD5u3nrcEha`DbsI^G1*F2X}>WW52!~?sRcxsvcEj53BdDg ztXNXvnx8RSMXbQ*3^9{=lVv(+Fpd^6o?T$%eO4`V`8?1WCU;9g0YcvGMmVc>hSrNF zHCOuHBP{Dctd6UD{6;57R?V18L;`3R<{^Z#MvW9+n{nriIRKXIQU^j3yHhJbA`rsKx(`DCXg28rV@^8I zbD;M1?C-rr)t{iBaW4Zm7jMn-@QT@vwR0hxiOry+<)#gI%i{5vc zAzHm`_GIF@Le^QVvX*G$l(GFA^U3`nPPSP>AIq@idX4~5M-w$tg-_q0h6!Z%(Twp@ z3<{|bBAu3cRG)~`1ajBmA&-?&!O~cng*%!aGUM70Bn?RJHXYpQpsW0Fa2u^snl$uG zPv_H`KMf5RwA~msq2^MyXf-@U`P~x%K-aX+gs&8f>%~wS+CU~ShHCR*l?dxWSJhmb zOIdTbjueD%P;-Fn*Q%Ejm+r&uKzlKl@0mvFeqk(rT9Ub4o4e2j%@m9iIg>ux%d^3? zDqpeh?o}LFM>J}$`y?#3i^+Bn1FE}i_|5;?n%+8z%zzl1JMYcW0Vv2Qo3_chJG~_` zY%|p9`!6W8;VDQKsmhCv!53z2citX zlqSw!JG$0_vM6&t#5UgQQ4qRC`t_rD^DoAWI$q_^ZY5*24*L$7JqC=o+??|)Pbl`%q>G4CGJw9<{yYWKkZqbVPuGXW*u)#YabU;Xam*( zIl&bN?<06_Md<#f6q|Vd8 zmg%YOwAUCe+urcbJ-0+yhwZ$x5@k?QZElwQPM(m!x9#vt+1I#PS@( z8i{~8&t^3wvx!np>k94t${2(9`472>Hi4YBF9I2{r9%Z9Tlc?NxN{FX*}?7cGo&Q7 zUT6{?G8He+!Sht+cYvgnq@-soWTrCoDjakOR5he+JzcPJ-bK@K8W+EX1wZH69^-qg z4D7fvs``4zl^-j{gzL!%V5Y6B%|-Ua*&l!(roVR=q&qCg^v_oL^waTx9d98HzGaoT zWkf|;z5gkJ7%`9Y;5au}*_*Xm5g#;EbMFl?%6`v}p$(vlG*q>5qC96{M%qt4^LNCX z9^&a>|6g%&TmSk0qpg6{56$ z0k%Nmag3UnRmvXqyL>2i8yb32kcOHD{a9G>qyp!qv*VB46Zdan^*a3m9-H7L>Zo?c zsE?a+9cOzFH6D2S`_W-t*Ei>1p1yF#BlocRyiNZs(9zl3zr*b2lOxi9IEZ)kmlJJ@ z5&T&fWP(Fdz-fX2=$;Xwl%x_t27MAWkrl*Qs^a&*RQazMfXMdXv_>WhG^VyJD$XuzySGvOUI>8}VMjU4{oJUYs?0OD_u}$Gd>LM(`1AND-US zDxt%SBvO33++!G;iW)lCrq#7noJF^fN$<&7opLns^IGmaNDt3s9|(H~AhOVUOL8f; z%7&FtdHi0d_XFlWx7wGY!x(U$9x9#tSKxj=+Iui3vk@op=5W_yXq}mlgjF2S=PNgh z4aSi^f6e6J)pzSfW7`G+_E1Y1Jk#Dm!sC{%dFw7nL#%mjvpCD##Wt*Pfk~ycBFcF^ zfiBQa(M*8M)k`#q`a2s`E6zSx4-{EvaWW>%TF{-LH21=Zl%0>8L?uMpeB zh`=dC6t<~p{iEg*0K*%C$h2IhN6^+{MztjGC-R#kmx z?tbYt=9;|23^+PYzueA%)`?6%~wHg1H>nAo_*!C7tI-%C9?T&~pIg?|D z6dxrY3Un&{2r*lb;eWeea)KtmG-pfPDVJAgJ0Fh0Sx>De{@qmmk!xjetjRpTh-D!;#jBW(Y8kh0Bb?xj6@mma@Cvxc9~EeIK+Mn`J? z4F3A4WM~QWiu)SaQ#;#&Tg8Nt3ZOyKm)lFIvqvmo@nA-f(7-zMRxa0biX?Dw# zoND!wZdGBcv+&{Of6<0j+lEz?$&1lkqCdVJ>GIZWCjXc_ z>1_Bo&(2&Ai|*ud|MEW@f2?G-{~CCP$O~qHXd>ii1~c|N|A@*3;xb;x=zmv$cg2)X zdgpZx&3nCeW7k66-&**{-T+nt3tKbyW)0x-07SbxxPe~LDVke3@!($lF`)xPq=~_f211Jy>vPUwat-oJ6+YU}Ziii4s(>m75J*MUKuR83d@xlyr{_I}z=3fN+ z)2OeuP@-Qx4}8Z`)rs?i(!99qFHZqT@;tlF=Z&^}9lhhu8GFjEmOoy4gHdXtuD0_Xmc&B$QtGuw~L$!HQe#DYYUrjW5u+_2cSJZ;q=NEiRPDQ*g zG(3N$rNw{L_||tv>$5)S4Sy%*kLS7&yT=Ne6voX9#J zTSNanjRnogcy$7t{=L;w=R8#6eDmj-BbAoZ=c8?&&hFn9>I5hT`gV;GV?k_Uj53!<8vr2EOFYnro@}#Xot1!w)CfvW@@bTfX4{iFj0A=P0>bL zaI`+;%%;v6VLvAhXXt!GZZx`i_$dd-1BJEoO$PR{|alHcp+bWM~C;v$N_yuT_Ycrq+kG94f+4R9bLrpAehC(LFf!`j zt~tnVq$BIyo9lIJaX*W7ewI0LvEAKhV~-3w^fr&O>(Y$BVAQeT26u~rEx{xgqVw>fwu-zq^$F9u$P)ApmeZ|M;~cU+tfJ4t5d* z=|uMfOWq|^yuAUffyDYXAb+X7@+hl0WL(jKimr%7ls}`)aZWqTK=zI%J|>B zyBFY_5%A65PF65b5uQe|^@S_LZ&zu28IAo0~9mk@FGkpxK#6Q!@qt8=iO?t+p*`oVGHAHEFNEx5Q@f!R-ruJLh%P zR=Dg~Rx@d;Q`23EP3@7$c{PDslP3JjrgGQVoM?qQErZPiDNWdvFLy;Y)NxGTiCkK5=OXYe&9+45w zvjj7_>$ew-K%0+^iwKGZn#Hj)w*iT7CQ{B!5$``5inJi#xFlK;~xiw?n z0fFUF0j%$FXxMZ6;Ci~1$b-8ZZ>kepMU3Sd5sz`BDgca{;YN}+nHe?FPi3}gXkF{k z0lNR8UeGB;b_?2iqM&jgsLqCr!`b~2FtBHXIi(Q#CoI;fB}2(E>D&1;@=?)IK&*^^N6msF^Z}wB%57Sy@G+r(1NqLfNXK4%1W7W0_@jGmdg>c!4jgY~$|YrkU@W;B>&}rC&CnBxV^E1^hq2e1uKCuk7(Nd9Pg1drJ}Sc1d7R&Vt%PUk&FlXzZ*>J@44`! zcvCAXzYzo(5^DqQ+H)ES7n*P)*S$LmKf?*ArY6Fy&ySNT7o;O$T}_1Zwsh-^qXQNP z)G#)xq-o4J%x&e`3OA7>BlAuZJV9u*j$)=~$|DE*p0hiaNZ2=jhxrm_unngZ`{ZsN z-+odSZe1Fv%*}Z-Al@z+*^0Tdv`@Ltv6_w48=e>Oj@ECB$$;@hQwqA~*UAff(it

k^^SjyFc%W0O$%Ux3}>C(fZv zzVYk`TE3|;;Y3)i)>`ow&j~NDLnj4>+r-vHUXR{D%;9cSfY>V0IZU%_!VFb)ofmko z7*hy-gi2=3d-aB>`P`-$gP1LR>0IoOj-_hp#iGZzd4E&pE#xG{0F89lW-yklfC}1{ z@K=0Xu;Ljun`HqCnh+eIjbc*2Fn(XiyHFw?8hocahw9?ZdupTPy;@5pGljJLGjq)4 zG1;tSpcPdMyV&*FbfZCbN30aZHY2G>@hXO%_AZx(@ubQ*S@=(waAn@5TG6qLB^;)N zKAJI=cp|N%mwWd0s1O5kDJ#VzpdVBzk|>jCFm+NQD(-qj=^er0+Q6(1pT4&siK4KRyZAc z1`s4EuX<|1lX4>2NW!-#td->%sdX$H;>&6r*~FeeyemC^Chx(4h}hpFXHt?jlN+k> z-@MU#xQn}b>*Lm2Zy$a#g?498|8JPfn(=FBNx74VrrU(y zh=$lh%2*^Qfd|3vjAP=Ln$nR2f)1HF0XsppqefiUoAgeGAZK&U66oEYfu@F=9cja_7figxu(afE5*L@^P|#H}^I1Fm9PZGvC}1z=LK}dc z;Dp%$KCwhn-Y3rcz%?h*HLD{YRo~}0tIrWkh4>^j$Ew>cTjDS>V8NwIgVdU))MFw$ z+&N_&mw9W2YQTc1r|A(gpA@A^p)QxxEPQX1e>@fuELZS;S5F{caMD&!x~@6@4v4Z!x@s6*)Z#j zc{Y(Y>>6PzQi|3LOe90`nAG%N12!CoA26Ws1t^wy?6=Of*qu)yb8SjB}zDAUJ~sf0x~S#cSduurpF;bwAx;i46A zW0m%v)feFOrd12=Bf3Xp-8oShfO*=sA!fX3s}=q(D@sb{ zSDf;FZoHp#+Ca?WeIoqMyFL~l83iY67hf&_rXj z78x7GQb>Vg6{l+IBh`W@-KoyCFX+-B=QI_Tnv8_Iq5(S{fd#X=EJq>h$l2m2)Cu&N z$^VhBHq-u9>7t2*ez2~hNe77Di*ZD54Tm=kt)9(B4zjF32?ow_*+>U^DW|*|a0XDx zSt`)}33#NkFh7ahefwKEY9VqX|A59LC=-F_y7G)523&*brX*8=OrqY&Oi62m&)tpX z*69t4Mv_8-?2#zzCa0;!fv$zV?mjm&B3k-r`*zT1X-Y-H22MFxHgnmb4yB3#``cs z4W!Zm%XXqoNfn|%XRf@~Q`h7WUQzV0=%q?v>t3GNx28~e&pn>G6`z6^zH6+%>6t|a z++$9ABiL~H$2TEqsgn1=snszg4|xn`)7viPbAYwX?x$;ZM96f=DZ2}5i#$&Ohq!m3 zGf8=XnV<8FJiU1WF&vJwWVJ_`9j- z*%%W16d$OGqSsq_*PwPSLkd1$(m@2`n_7Am0etthXZ5Pk13=VN8;J3yzATMBOuIK_ z)(64#VjweQESTm9z{x-~1|*$GQ(7Xjhn7m&VN=1;>aO@{PPN0R7up<=84;iyfc2KT zfxE2j)AQ^tSoY~kQ!GhgU6ZwCe#E>;f?X@dnEbBIRmfIEq* z0BA7)XT@OXa2zE9{iv8IM~P@j^TK7pH5-Xw0O5GClrHRX1X4TvkYLb8b64Q5C(9p~ z`ui}iePl2RT}UM#V%oMdBk2Nf79rEPa{{C`KA`+6R<{vR%KoM5iZ|#!*%{VEFVkD( za=^r~(~-xo6V$9k5W+mg7~3kE7}4pR#qcJAJV379@I3eZ=v1~(*&=d}f(ifg6)i|4@mr;}An@92_rn>~d*ToFvn_OlQyHgY`G6Gqh^1Vm6#3GxFvV;rTw0@Zt_M; z736a?(mD=D{jqiDcYg4b$1yW}YF$-vEPX#l9%o-ml<@M~m-j-L3pe@2I-Tb^05>6m_HVhi*GRQij zl;TX5?&N3K^dwxU7)qJLa10lDASK!TQOYKr12$60GKQ;*BA^BYfycts5DHTL6WTk_ zCr(wel6liXeZTA(E|7>8X-|^NG%Gp9_4M&jfNvL>Qtdu+Avr!)L4%i-DK5G6fIAUn zfK{sUx`7GXNe7HsM|0>VM{KkADbdb7QGQB69WBM<7;9pT?|vdnxeFqGEn>zUr$C4m zDohBg+K{u2o|1PSknq%tWm=eYqY>~q_>{@?8s(BEh zf5~+aVeBOCYUN^v6K8s04Cr12ufg@j=A@bOuAg|4y-S_v3FM;d{&(kDRWz95xq%w; zPpQD#%k@65nqj9cLU!3QJ1y1CP_?kz9l;fbH<4qlC{Juv^R?HJJ&}S_0tXS8lE4I< zRzo)zS$%~`OQ{^`dZ?i8b$S%vAiW9G0_ei0g2z^pV%4y9`k^^@hNljwgNa-4mNyEL zWhNxD7bxY35)hKmT_$EQLHsz%P3c_Ul-_LyS|nx~%RnMuQC<`73T4m=Ouw1aQztG7 zlQcur6SdX{Nd~G>2QsJ`k!K^8;6^E^E*~D?yPunEG!Rm(&{}Q>B-+Do!wwhjf6KLe zw;!h`56tN^yz?;pnu^1&<5_2iGzUfGv1vPyQ(z5DH;KPHE#gk5BtX4s?c}Ewshe)b zC}<|%PMGzimI_7_ksIF(+8b@eE(>qT!fjk%XWi#)-jS?qJ38r?Y3f_Yrm76R+wT1(SHp(>(fOUK@=V_Yeoa4m zSs*Lli#w}cy{{-wy4lLGBz2p4*8VEvy)D73`O9$!{#`NzAZY*T=xp!gFrrMCpZ}~N zIrs0lrI7PtLfNx#ch|d*+INUK`Fft-cqYtLflaZjDeee4v(vn zjq9wzH%hrc?kyVAG+v_*V$TenysNJKz~EUlOaSBLpFbp)$#06AZl5fldUjcd^w zDe+Fuw?9w|UM~ZdT%;MoSvzYC!-nv#jXlv;JJ{+KuN0&X_tXm$n|<;*#nmAOZ#Mm` zKB4WtdLO7=&wc!E(B3^gD(LW3r0}sH>RKOduE+*N2V*4}S#x^>brG@j}t?M}b)QOEG`#G0JtStJ@)EGb5Y7>Y0pK3B zLiUJHUW@H_1l)Y6_7O#+nmt&f2V!3Sa>pIA6igHDCQOjF&v!Q-kXTf?+VuurbaqPX zF3;AIs5v((7*7rcEoJ`=*^iIHx__?Bs?uGv2a5Nti2S+$+vL{uT&-%JLoQaLDFHv* zscM>LCQo|eB!2K(WH=mIsnxf&Pszm!#Jsop8+g=<%I}j$@%@+RbyxGT>_mpEB#*FA zlrn9}VHN;`oHk_qPxRF(2!%(_~vN!iV^6i@qq<9 zxBJFxW|E8Ef5HP&ko|NWFa>zz$#Z}Zc&MJ9UUBJ6xq^LKVD2^Uwsb;g;+nzRYxT~8MLf-%E?I*aMAPa>Pbh5tZqW#CAZ zWXpCzdgqN+Z)v!q1|nN3ukLmJnZhD|S7m4JqJ|yW{bKi9skc8wI2|M9iOzw2-Q zriiyzAClLa!sP|xp)a4FL*(b+^K~;)dgHiW+Klr1)T^;cc4nF*1=$t_2PSsa70x>w z&u_4}eo=S0V>QnYUOl#HAfxkxpPETH0pv1c@>I*7K#bnE^VU=QMa=OqF>IavY_e9s z;MA|{Dv&$tPI;~HSf^|RAH`7TVraUcR z7F=(n_90e8Nr!#N@t%}%*SLw37-2B@f3Pm}8DsqkyPs|4UugZ4z{Sl>dkK&;tzc&e z;Imr9fU+b;wQdB*vMh4-!~fHEFwp3P5H2At%%@ET>Ma|GbtO}Y^X z_OPl`nko(($P`%-!uy=STWNa?cFbf`dgsfvfDKSbhU3qtfs8S}ArBf6wH z=5RIw6(llL)l?@NEmZ|amggw4B`<6=3h8&}YGI<-2+DN6Zn$hTrB5!v!fIG44ihuf z@z9+%=epeFFl(0VZ0zspEE;oc+Yuil(kZ(8@1bnZO;1YSzHaHheD-$j&m3@=-@=0K zJ+wU_PU=H~omk!>7$3ajL+1`i&PStr2Mi{zxjvEQDRHhx%idqdOmrs!ue0mD7a_15_`dX$p9aos{@K2c_)cwhRu%6;2O+9=Jb8VcmEA_LpMO zHLv@}IM;gAAIdQ_J=FG(j>fwmcLvFJnRzvp^xQ$PO|p!y#gsebDF#8>?+ePSkjD%3 zP9AyA?yuvXHU3ZM@)fD$?Yxk3I5znw>`r;6nd`J-2w(@@=5jW|d9R&6wLD*mSjW z`<%TAiYdR$*pM-l?Y|SDT6{6`a3*TPF;xEeF~u|e=e~uJ4~s79YLL~uB}6D?at?P3 z#s*T{AF>H2IyvD(FefiCwMHFT#I@00@^UNii5nkbEU3%1!_ofxkxH5Imrb*Gm1hFz z=*+3W>te;^rNsBmpoNd8*TZ_&&w?Ae%Oj&dbJ%K=EIfff&q-U+{O06bt9^F(X?!{T zfjbeL55R}Ci`)&Lw=IT`+i)z_6;^8|(J>inVLX4{j^g`McI`o8EO)H&W;ezG(|8SU z-i|&;${2v62F&TUmkuA|x@Zhn#fF`u3pCL+EB_M6B>#N6Fx};6Q4^SCvthXygtwuG zATsJhoJ>p-BwXtuEyHT)Pkx3wuG40wd<(B;yb+zuztV_M{tJXyA;hS_2`G>#zowd@lcCgbXo?FkUwxB+S z-;CdWQs>hnowlDpHNdp0ZWNaq^&Qdd;o1-p(CpNg5qI*rF3=zl(mt}dPTB`v^P)jt z>nfgKm&LB<&Bn-}wi;R*V#J%yYv~>>Cb$i3`9~*WTTfMpGm$8o-BKFqxi?q&&-b~s z{-6x|BxHf20Xvn3EjPen8E^*8e(1;w#v zVj@{k5bVJ3mfr?9ueSae%t*;R!}UQ@D;axm_r~lb(1MBE0o*pP^n-2dFj>l=>q@{} zt}iLp9MCz!!v1^+*s0np=s}}vW`{n%ceCKVT=}D+cC1fyVTh3QXzTm5m%n1$77HR> zu`RK_4U=#48pOqC_ytfiTe?jGD{P=%huC6I^^)6efu5a< z^4W5gZR#n~O?dhHu}@f4z`sMJ6*TN2mC*0iK0xF-s69iE97|}GtaRw5O(n$Y$PouN zGiSN1f3YHpr||&{Yzzjy_&VE|3ic_+xTKm2St4n4gOk0fvMjjYQ~Lf5ae`A6)Fbf- z7ecyF9f`=H@3kHX9de((TMTnv3-CJr;kv_vzr+K^7Gtt>pPZHE5eq=XfLoCF);}&H zdNwE3$;_442E0r8wcV31-p$^9Z@qeGNmSJts!e*$>U!ZxbT9rtaz0eVwa2UrC)lL5 zC1q^-aK{eG2tH+!_OfE$+S(XMr3yXQt{d3Zy@i_0Ir!b$`QOOpe{UIeYSr>W77-sy zS}Ya*AHLo_E~fmCA1{?CnMz8f21!T_OIkJNut}R_+@sX6Nw>|cO&S_Ax=t6FCA7{2sqQJP>u}ywCf*-mlB^ zLeSZU9~G>B{dkTfD^2<3EaiDj_5)&R@c7`0?{(raQa5CK$ANIE`U)T2rldIh>UAB2 zq|HCrSlu7(b@&2hs*k?4Bz)f(=kIs=YJNYa{_846d-?30bJiwtGz*`nCmc8I`Sy(5 zOrsN9CbPsJq=#Xz>q-~4AUBX!TEW0~&*|pc@+JlaTXPgPs1qkk?&)-= z2`zc}OZmRW?w<9s)btB?4;>7KT2iyEB^{pkZFF1r>NRJ4My4BgO3Dr_TIv!;K7Cqc zx+?LBfQHdR%_U=CUUw|H?&-Ov2TfJ@N&jCB`nH^@A`ulybkJb z`FUr<@5^JQBj*mnxlXwY-{+ZJ@bPtRmj>u*yZ#-q-*LX{3zEwpQ&92oqUHDn&+5%; z9_+d$@_j0N%LK9rX_F1h^9H0_mH5mFj0q+&!;JV*iX*Ut!r`ZJxFPrnmwZV89yc0| zBWk`S$4l!A-?P~sT!cU=7P#%KdXp3g;-z=;aJEkCZPwR*Tr*9g2pTYvd-1e zCHVzUZ>1~@(N^;Xqm84pj0$~CL~`X&m#S8HdA0dy=8=UUxVRg|YWW>MY!oQ0LWvqq|{ShT1 z)eQ1A;?%o4(7s{9ja76JUX^z$=nTa)H-2A_eb{GUf2gZOC=-&F93!yiH3`OK@==e- z;%BM446!H74`N_Wt<2dip>i@6o?fP_pVP8J(Uqmzh_vu)AXFdsA*Azf$#cR_tgL!} zQZ(_eYiZolRo$t|zo=vQEk7VTDgjU!BU!+E;>hcQdhZ(Kxa=u-u;Jd3F15Ijp1C6p zI|xW&0FW&neh&7 z%kT)!7<#svR}W?~PS8YbfkRS#$NNHsgHJYJecbO4#elWppy~Ya+ix0YY?uGNkbg0B z_QSS=$KWAuQsUl4?TETkdfi7#>=F!rZOTf+PxN#ehL?!tw3k8y!1Z#toG8*3Hlfuc zyizNLmv!}1;5%();og->8LKeP2bh{RU@5AUgCx0XxJ@Uu<*2#yu}ymU9&jdH>}mO2 zzo?MAb+Irrm=oMKnp*k(q&;isZpItjdMJu;Jpb%H?XU{nQvL4j;=Z3%=w^0_vmuCT z*lFu=@6 z!iP=aw}eU6736q4A3pI~{#4$8>PA(;c1%S~4%YUxQN|1abL156rCA!N@Er3(nuzqq z{|M8$67J;7oLo8l7f>GYM!{t)AVDjh@M>Fc{h&Y`x5WAVD=-LeM%2|(G-4V5jkomr zSo8P%pH;o+pINz>uk|~oZkZ>fUY1{e1;;Xc-ao>nKbr264ktSrUd-liSN8rw`J>>6 z1*?m!H_i|Gb6ff#3*G(K$wny}3Y8Wk!gcl0a5cYh?2^P=n~tSUVbHm&qZ*G!y*aG- z?c&%Szr}9Kj%Q7W$NN13Zgc1ww$(RoIvN$plJgr>!}+K_^>xn^;nS(0 zlT41sfCe*ul5sK&$7_sfWINvuAOxw+yg*@_ib8sk@P^$Q%%ih4!5yhusk|n-o92XP zvM{8e-po_wB#$veS5L~CoATppO1b${nNQZYN827eCMRsMFmo} z5T{Y)N>^T=^v0$}0XZK;i~msL%o=W_>6~Q3JDwTda*-QkI`IarQ4wA)0(qvNPGm83 zS5wk@_e@V-dHed66HaAqd3-H=ZzJCfFE_NC3ctN;5LD2#D%ojPAIq?wRmcM0*K<;I z6@Mxbk49xPmT-MK>%}<`NAgQiP7n7Tb&tp@5p@TVsggPTZyel;9xA$7|FT>gcfz=zR5Xex zM6hu(`D$PsrjXyG^&@H?xU;P4p)Us?yzuTO2aVqTB4ZIG$uaG`S>?-mh_KX|oiE=@ zg55T?Mu%SQ<<8$f56r~`#N>2xe3Dxz6|P*1x|6tWTmvznzUIowxro=FOqf z6Ps2xznU|RdTuWyv88-|M$iPR2G0|TXw2F>qE)BbT_lb8Np1p^;MreKr--vG-H@EO__;v2 z??cHmp3M0tON*})&R^|6yl_Iid$y;*0sT>jJgHf0wUzvb^pqSsV9%dHxh?Ks7eRI; zdI>=1LY_aUc~jb{j?wS=mNT%Ae_&p6XGq=1C16>0=8v(j{- zPF37+ax>ptC}$VN`QmLC@gVl0C<*-;_h)pa$XTVyKXbC&-ntL?-)vQ{0z`Taf_ier zcM=dP&l2`34L_mM5fLQT+&OrlPF(0Ym!HjB+)Zbn=TM^1N6R%Ol<^S(io-l-&ZYKe z#gP#Zt(Oy2ay+! zz$B&{cfINSM`;SRuZ_DEW$F|y5WSTenp|bewE|on$eFv0_*9Y|L5=dN7mE;QPMCJA z2>aGBwwNW7-Q>_(b?vN)(xhI0$qbk&#AALVpkf$v4H?^|`Q3Ub1+u7Hhevs4UFR`M zN&V{xEla`g6B^iPMUe*dOvG({wO257h*N*Yalp4*457nIf```kY5Oie1Y(Nj+kK4i- zSHn>@e+4j&>M(zbg!=9P#E1@`Xne<6?moPvhU}Cqz%!A;3ee}!M!({21J>N!k1ECG zy;ruQn?`dbQwL%OQpvI1lGz`oc^<^5q|J1rFV_#x*9h~#VLGvJ5ewQVhQCn3aA0L} zro;)Gexm^}Pda99+!!1~KSW55jwIafqWfvtw%m<)SXG;@ zLcIS1+J_L6HyCzx(-`AkZ5=|WySd)jhxV_`R%oh=Cj0a3IAuTJEG-}G&tY#kF{W~y z6+d>u4MQ&;S*m{gvM$E&R&Lfv{-JDQo4s^6ZIJn8)rw2WB^UX%9v%17ey>^I#oOctaVk~%L?e~gYIQLrJqGT#7jvI3-cUAYuq7Qzn zw8}?*QuT!(LAy}+pV{@zsuEtY3Hjv|9 z8yAG3pL8@CGEv1{Wn{{MSvN_JG)-8X3)zBC=0Ljyl$0E zQ?eE*sIV8xRCWAem}ZB90L@wMZruAy5`%#yT$|rF0F>nbtzDv8r3h9Q@aro6&`?V} z&9d})&R_FKo|Gq?&?@nHQkl|{V{GHw1c-ZG3@VX=MIon=Pgvu5)02W$mKc3PkwXk` zvL`BHhtZ!Kj7@G+jbu2V-mevv&Rx_{$1p2fdmYb6)t0(_(s}f>mR*^7@)@2*j{9N^ z0Ee|%QnWuB*BLj1bWVbn(#se%tT2h#A0>Vqe=wCU2S-$zBS+90R2OGw5`l&UGM*R{ zP%U=e!VSQE?DTSdsRIO%fbJ#=n%e^UHS~j*ako!ffsOiHGRu@BvE}q=1KW|}peL2s z+@3a2=2*g0i0Y_E+*e_r8yM8GHr4f>Qqmb$sQt?|VykU!`_G4I!UKc_r$R+?qp`$6 zODRmv^on_J4*)Rk9FgX%^&X=)`NGr2R71DZQC;!i7LtxKs?mO3rd-sk*9v6Jk_Mh7 zSkoUxr8?^tmc59=k+!-K0ELRu>CHGtW}cajT`Jd6EMPNcS8dyh-}SxhFY`ZFm5fXz zpti_4&0T4#kR}WJMKY?VWIV2jH62G1N-YdOVjbdkRW@((bu4tWl~N#er+aJb!2mkY zaOx?v{0^U#&@qaj$z97HmwMogBpaoup+!e^kQ`f&ooOs@ic^le-K4#Aw{Y0I}iIr-m6ozTW z9q<3QgdqnN-SmH?3N|R)wg~&~wIfdS^m(n{wR97~j zwZECSbLYd_G<*~9obm-Jy33T6B5ecS0FKn>sU|VL=VZwa(2DtqXP7Mf3M$p`OYXd;^)UEb-N~KFb9e3*Hq4%lDro8ZIO&enYpBUO5B)x z=maE67+qmhIN4Okn~)^+0L489sHad1$T_!fbW7Z0iDeRe>*Wre${a6= zd|F?+u$|$_&KFIQfT!TgIGx<>#9a9bq>&xe-J-zvsd z7~j9dS3#bIjM%DamXVm!Pz_sWsc{AVNrsG9AJ*Z&%_6lRsMzON~?zkYsjA=Dx&T+E~tXb`7x& zzW^`ChIWZJuF&}bfYBRsDgF%Se#HcQhbHlue)ZF)Ihk6ca02AHQTg29bRE^hmEpsU zcwYB9MqAaUgj|9#YhZx8?B3ua=1U(#N>>KOMm4|*i|rZVIv7LA7p(e~AgR~5?L~c> zBeee;_MO41m*Fo`wN%?}(J~Fq5nm{ebH_)qiS0eDar3&!7wXflBVNa1N>c9))iy7H zp)H(IpIVUm#bVSbTdW$(KnU9xj6kkMuHhm+R^?tp+QfEX<%X=&>+pl13aqs*7?C(| z6Y$pu)sI;eYB3}iJ!?^+B8FceS$yZT_GIy0pS*y*Xrg>Cp4q{B1{z`PvAoBO095#Bi7Um_WrP>8P((k@JOFSmEE<6pOJ8 zEPS1qyR4H~4y{~3M|feYVaI}mPl8dm0xdcW0}c(PCgpP>gBJ)*zJ5P z4qJn?i`-&~d6>9}7<-DHM-MYDd>;gr6gO5w=`(65!#4d0A61zj4f$sg)mi#8;zlgJ zkL{||BAziY9BIWL>IU&Qnx!d<)g_zpSnLN`8)FVua7L>t2$Ga((&p+~qN(|H`f;3G zP3Z%$dSvDHDO;5#JYTHwNE7?SITF&Q>$Aj|LO0st%W~z&6l5SqDM|z7q(bV=BQ?fo zg5N{fp(1(o=!lO-*msc|_LQ`UCf3{m8I^s&EM3d-l~J9C->}E^h1D`Mb?y{Pc$~6! z$r5x^r?u!E73z8*VziDvlh|`~hPe>@ZKB@^6 zNR!wC04oV|n_RRVOgW_L$vPz0t*4+V^B|TReX|qxk2y5_;eA!0{q1vHz-{Kn@>ODkOz9c;6A#9^j3ST$9tqY9*x2<8qfCI;N)qO_ud zXvGNAxD{$~Ye*?4d=vUufjH0uu`X>@0lO(i&9}yFjIuP0 z+BRNo6k5OHTp9>pM&Hl^9bs5O7`AQ)Tj8RQO|DvG66e&XSbQOx+Rg~Lfice1ayQ)p zeV!=8hG$4hhf8|{)E#zeN|4d8^Cq62nRbzgGM)3&^QgUqAAaLn-_Tt_YoxE{VEYve z`SH{L-r1I2Y4uBeG>|Jq8lAZZ&@&1E?f4Teri;>qw8^{~4HartZTa}} zJv-D{ltL(WxYjTx>y}Pz@=-^+`R%6R%edxg$!{eP&vP=#2d74}8B_6zA2j8~i|)It z8;3_VfXh@5oYU|ROI@25)DTng5Vgt^TxSQfM;KF6_elcDfm?vl1wG$etY)Pf$3^FK z@+LQuKZOWDg}uD#BcFEMGs6{zOK^pU$O{Get-8ijKtW5 z1Jm&8Yuwpsj>eW>dBZF1SN*%>c8le2X44Kn`{A>7S?&WReMH<*4HF09aB^xDKG82s z1Bqy4;ubVkA@9n-Y&V)NAW6MVe9T{_16IsZjG~q1GlFqt1mIt#_QWrsr?gPJyYwPQ z47Hgp&%X_P+Xl`}ELy}=SKGROzD~V}I&LlcxJCDBcq!vzr`4(dR|n(&>v!TkEXE&D z3zu{wKQWK=^$efTiAxyPrc1E;)-m`wbPbZTk2m2t5e9VLz#Jk_8zH4|mo%YOa_R)= zi?x!AP}aBv)sE)K}2}GVv>8P-^kyZEQZ{((`m6{X%`L0S1bAUWVQQpB#0FY+FB;$H1k zhmio9FpxjyBjY<@)$s`@(B%SWSow3D+k@QF7d3_JRQb_9!&Ta}z;@P{nr&)a;?p^p{pT-U7XQ3k_+V)B<@5hHecBh;*glosx-rr7Vlo)q-t{srP#jBU}i+K!{AX6Ep3zFK-b(`xhUEI*lw z{FjZMwfdj4O7ZM5buW$ID@UU|f<{IvzWm(*Dz}j29t znf;JifS{oO2l(gMJ=kcF#N{n)6@B*Mz~s>~n*X2KoZ0ZD!2jb*Qq%IK%D1WY=MT}@ zZ55Nd>co`yr=+>0D~HxzL3=u!5g{JO$z12@hF*()TRmfm+f0c!+ZKLY>vz|heP1hf zq|fh{4DF_0X@;g@CJ1_Hst!pLs5zqgs1oUpngeOJh8&ZKH;a5Kwc}AiMhy4UGTq<} zn@G37bcSF&amRW8GpRrO?Pg5FAE^v~c9JtA)E#r`m&UIVG^4#K4wov^`93n~I}5F~ zoMO(MhXxigrW30$MIOT$w{8vk`9Mz*V8Sihf+)EFfcKrUV!=`{{9$I~S>J3HzsTW(&B>FX9%f z$`r^1-n`yqgfgw7J*?~J&-x;j70-#gx&Fn1$#1HJ3=a;Xb&AfK3*Qce3dW zKS_TiEHsv-btyj8{x^5_i#qQvE{%-|V?dRAh(@enI0Bb3tcBsUevbwjM?*f(OP*#- z9L@cnKx}Z(WcdT8MLVBzea}Maej{;Mcb1dYESbY{#gR3rxK(7!iQLO_sS+siqvT(y z#Eg^Oi6b=4`cD6lABRga<}SW)cMI>+p*O$(x$~os$9LSDe4tRDc`vdhJw7-`EspMy z=`B+imv3HOv*R)zCX24Tr~aih%|CbMq&bgjy&YG`?QYnI$0#(4?CAKt-07NvJ8xe; z;QDDU_&@tK{Iqex%j8r~56YKw^dk7XE}K7M*XpS1`EdCV#vv_|^OHfXezicTQ$y zn0?4V^7ys^BTGKNPS;3f_t~M(=Rqv{T*GYPO@8q?cEie@OA6kDm2l^_7^jT33zu)s zT@#-XTuQ??ipH}8T)za1@{x&0uJ#=A_(|8yn_Rx_;~ot>3^m&m(!#K(4q3F2j+8UxcC`JsnXmuUt9q6U2H&bU&|~g04AaAj zvdHIkOA)oO)gw5(JN#bvXLnjYIU#p>_n$v(4#b=s@YtHc4EGm1Zwos%S_{^$HC8&A ze`+MY;}72l?f71l0^W>OG9j6GFj<8@0)+vSdtx(%SSX!fN{3fof7$v&$3aS{8TTWS zK1Y!?~!B5MJ|i<`M+|Ic1IYG9n?wts@JoMHZvIb!Aj0Y>Y=a9&u3^~ zv&r{gT&bD2^`G@D`^T7A-+?*U?IYMr9%a z&$}Gn&(5a(8ds<}O2Io+-@@>H+QhoVT>nUx_-c!^g2xZX%joy-*-UUq(c8kPEr1j6&yyZ29}Oxmsb}UPj&({3-lx})A}mo;eq=@+-(01~W6qNfoIsZ82E(6xuCgL2M2P7Q zH~2EI6SRueb+C-IZ0=HVGS!M$TFLn~v|3pS#|mb;nW4AqIL~##STJ*P2Hv{QVTs!| z*=6#t166YQ^D<5Ty%cA1&S{;bY!Bmi{w1nCcbDl8i5b`HdDDg~xKV@1*m+bNhK;b; zZ&vo@C*SEJuOR{!qy=(FTZ{H2EhFS<{s(RQGx zV{im>U&SmHjSCRx4=nDKc=ZmQlguIfo^uy!mj-H`MVhP+_iaNOq0L&RzE^#Z>G~3P z{*0e)H8eaMV6`Ruy8GIrmyZp{@0gKWB~*w-Tli`0A~)JF)A<(Wt44-ZI+_b%g=cDm z3nlX-)wKLL3sVhmX%f;nDwHPh;T#@EUw)Y*T#grMg?8IGVH#R%Z$_%L07hF&B~`G< zdz?~G;Umt zj#SB&{R=hBL;g*%nh$BAe=}V1qw3NP?Lh~XGLdVWWjVtP&zU!P`8Oz+`IO0LoTMk zs903&*JWTfJ(bMjq-wqILknSYa>Ww#jHD8k$;fF>>)|4WM>^pXSF+sb$mK0WI9M`P zAtO7Cj>&hGgkzbUUuQj%rHi#NY=TCIbeG=M^xR@2eVr_bVZ zKdA+W>3ga;UvY>MH-C5^z4;3CE+$2amsdrYCc^YkF1@8{la2?Q00i@C2R>dD6i7u? zTFP**4!E;b!o4y33BXedG;w#ZFs(~VWXfz}I}On+X7?HfW3Vb>#=f4D2O7%JXB0>7 z>iQ$fX5r*E>0=h`MfD}ojZu(8o4wo^3EecEF_=ieqq4A6(2MOwbsJI22o0?Y$J4HG zH(=u8I;PcDPSh6s8FyVZSbzflf$mxx4&AnGn;Qa0L8r$V_f@DSRdv}zAfZqsg+jtw_<9XUd#}{fThZxgX zoZ83D15kSca@G^?*@$Q9LQ#J4XXfNUnSN@L4>*(GhqimyyA4kUCSV=+g@r_L!04fR z*OOm8X6RPmz0>dy`)o43gb5tjUDc|?ef;6)NHV*3kaUCdChX})>*2vlf`jkBLTvwI zCb|Nd^kZ&wwn)3QqZ;}LG9v598#wgGYAJnh9lzm9Ow%E12Qqxen9SV`0>(T3GkdA+GGv)<(05>;WGu`7%y#H|M-@ne zv^5ZqLT#fPO@}_~1^jv`G3kOvak$A*IfQxnCwo4q5j{1x!b;?|+8PA;GvIE({EFjIn z$z~rlha878P6J1`d;=t`G0=hsU4&8|jqt^Dt2kw9X~DOiDBp!K|0Q=--A+bz_+p8G+@&e|d7q`W6(TQ=xC!2IX??ofs7%Ff$&E8a$Ufn0jnS^Ad>t>CVLu}~{zY@6u{AYMbZ(!(&HwwI_N&+jZ_+N2-2aW&pAo`rgd zJLcXtBZ}ab6dwixpGq7^m=(D4sIb55qJ~Xqu8ax_Im)ZVZro@Le$S(LPAO5dO6yAZ z*@bD93Yt?J+SSIVAeyzwlmyL#kHX?AW^ekYGOfoQT#PEUi=eWj%(H&Ny7l%J7^5f| zF3>L%c4uUX9Jt}w>K;E0 zUm%%+ssxK7gsvQMd)(YvUz( z?F;HRlspANWL59`A#Uimw9!5qAJm8x6n8wNO)}4Po-vtoS`ow^W*cV^3mcz*ju|3d zL$2_Y6|N#}d7H486??j!Z$mD?@5vlvfYtSNf7S4tD)K2Ph=~k5eBniyi))PYiSIxw z3|9Rf#*{NBk$*vQDotbxP8ERV?;#etQ6=EpKoRD*ULOOZCM-37+$$Bqz((c5DJg?3 zlF8`qE1*f@w)+;e-FjH|GZ^#97bf3l;AtVc5mgAfPPC#0pxAF)chFsHUC0enQ*C-V z{oBf$$?soCd^uLbUYM%oHf)3u$dU^^UGX-}o*5u7qE6^JRKuICSbQPD^6Pi1k45f@ z@F@YzdJPoARR!tb5@R_q7vFjgW>3_T-TshUIn#3Wb;90{eUJ3I`todsmr~=(bpVle zmD%>&Hz9M8p+;E9QX&I$(QLe1dP3Y*66`*dcHuXRe?Q_9!vTyukzicTwMA8Oz9-q~ zM$4<=TIi(3Ja6n{wpy55o~F^~L65;EURyhG56d((;8L`#bzlY+4yT``g~*LF$~F-` zJ50d!!&1rdPqQ#Dt*DJTfg_W_Ju@Z_iEjFU0vBz7Yhn5mPMa>N1>GGx!s=5)>`#=@ z3OpHjU=61ndWPno#eID3;Nd27XjoP(Jv|D826P?k<>NLm6Dz?2nYi&{l4=6a5hRo7 zsXDehr@xg%K)~o8%M4W7U5fUy!P^0qR0C7qb3CiW(-POz;9+ux6I7Hiw^>N6e8!u{ z(SlUMk#qYq_p_Y_+%dl4I)sd^Ae$DcQ5CW3B#meUaQaKA#XJVcAHyz$QuXcqtK6_> zk|llIc^E?qT1TVQTl#iNu0ppv8_R8DSw8Mf0>sBhx&=7aYYjzOsRf7|cbt@7hTv7l znzRg=k0eq{rS&HLaEoy(ufKu;5n)7!F+R2OuOq`pwagsRM8f^ryRU(=Idqm02)IGW zPN@qd%4f+b9kqlnRw2Zq;=q0`Ox6QA&_)^4biTd37<#MS z#3UT`aAed)DTIC1YKj~=a-D$Tn?@yIxWnDv!?R$dF1C;1?hNujif(8p7sFR0I6C!< zOQ$hJCvFz?mMB!7O~GmB@G8ZC1*(*b3t4tM?&3!S3%H@;p`PfsBJ~$(mvn1WpfQu{ z*-eCFB^q!@KqlND9DWSvkEN-YoF0j}MH|*lMah;h^4pqepYYjA%AVxoO6A?^ty-MG0l1&q#G5wu@%XdkiOuWn&jVL25>J zWkMo93J4!u1|0kb{Am^0GdeIfHq-D^PsRdl(#q%l(&X7llD;0AFBADcRkLjH4+eJE z2xG}>yrJ$ z#8041#%WrG+|E`v>yIq8DEDqyzMja~e+}m=zS1wqc=WrNwSec!(YFLK3+eXsa7+ZJ zB$Xa|;X}&@q8i0{3wk zNnjVV>ca8Ht0@E1(Z!9Gc$X$@#5NU7?TuCymH6xeapea-8p|97dj zhrY54kc2eABZpz5D^X=J30hV;jb#MGYEnUZ+(oB4B27}| zLczVZgVxNtp#-=pbt17CLk-SvaR4Z8ZOyS2m zP?=-dtG*tDcQ*hJGwi`9L*UHD{$_aJL9JUv0tpQlX^rghIFISbxnx|9R^-!)*ecn9 zS?Y3^qb-(iXVc(6HZh?qh~HS2cmVLmRn47UzK}M`)>#IcZeI+)2iz#CP{Gf1&pNq?LF-2uVUA z5Q%xMLXpy@a8#jX2^r2WV~Lg9t%0gINq6SB>ZVC4*ls!tzKIh7herqK(7b$z}U|nj5lf}Q}MDbSmOv&4FoA% zWSkiF+y2U5ioAXwY5!&YM*pdd@60A1S@T+!q&uLd!5;t54ETU8tbCSB29ndDf4fZb?6>4e2=>aTG8eGv;h zb#)zhU53bdTtt&ecUq|gOd}N9f(CO%4ANAW>57m}+0EKDbA5xxX@ zwtfYx?qiuTmb<}}>rY<*XFfn(GtipKa+w{7E4RR`d{B14BofpMt#Fri#NMAbBb=|J zOxebre|kCi)Mjb@>JaJL`1JUh2{$Tkn^Y`5>j2RXkiW?>25(d#6Iv5nyFo-H00!6@ zrgIr3;$c>AxuNC-SUBodnvT&UU1ZF@R5{kl*Mxm`BcmxSEP9Anz7Ad|_E~LJTRm4x2?YXX=C#)<|X^qq+=m;(9CV?ix3p zj`O?!_tV-di5F5DM7K}i0AREF{^SbNHNLvIY{fL--uY-t(g$B16q?w>LSuN$^<~RH zjb|==WI-~#|M6=r+c)3*uTVGz!qFD{Dq^WSY5VI(%r=LwdCQvo`^~9#d6hZ7v$mMe zOgeX4er6dH|JA2JIy8rm#;Ay`f;K9-xo6~B?Cu*~h;fcm_aSPw1!l=_ao`5K?S$J~ zD^)i-?o2og?*LLV?kBUIf3{UwB1bem-pd~TC{-6R+`}D#YId25#_Zd;_F0Gz7{zOQ zUcMZ(q(7``$r$c;o8@und*z5J%m+SW7NAN}%t$XJk%CVT%+3IYl43-^PlT?e;j`;c zaVen1P2=2Ty5s4(;Xdj}rdIDnzJO_U;i;1)7}ZdTJ}hgK%tA9|CR_EU7jLTa{fMPi z?+4}yYdLpQ zh9lPY zDt~MeML{K@zQ{+R^DLj#I`3n~8PLA)!6vqnoQOHS{wrmZYgI0_1+TA0v^jwFVslPU5ct%0>R-6s(3_p8^m$&zoRvTmu0ye6%T`MLe6-|9OXPwvYP zp*&*avsEB;{|S`OM+wK9@U%!9$YHEzU{Ay#pBbiY%v&S`A1wUbIf8|WTX2ROF$VaO z;d^xa-hMgH<|)rMmg|By?!U%+a)YzEhjkOcUIOV0VDw|wYj2lI9EL;_ztI|!>;KI% z{#+e60v%P$pA*t=k#11FA78)h!9-Y=NOu3mbIR3cqihyFc<3?PV(=~8_II57fBX)& z6wg;T?4P$K-z1he&;9!tZxge3%Z%CsbIs2Fa>2|7vZtK+_$_NP5Q+<{MhN_2*{JL* z@9`4ij<~;?yHi)yL0qWbYmRRNVx4GG_O;)o>KjsY+Y;-->$`1veJtB8F{0TENF~h} zI3H~tPdORWItw}O(tmq@Mr9RTpL*$5ajRblX>$QCaJ@&hx=yg-u!?-;cCc*V{C{S< zx5BS&4>i*K3YXa38^CMN`}Df438$8y#djrXJ&|YKOZaRUl(gLA<1;KBl7C$ZH@sPi z`{$iH^OxKE*i=Wj#!C9SMNj!DOm2 zTe>&~Q2177?mUZ!bO_>xt z6smikv4n8rhGaRVD2Bg#c{92>p((HM&8gm%f5IKC5ZW#N7yK6luqgNQSu+-afDgZI z@3=KhpS>}vkuzfh;PM4Th(l7)jnZ^6zQYSWU06T;?YIuYETS&= z!CsZ|1H5hH5yGCWvDxnVo; zuQyCe$JMI}Bvz(N$j{;A0^>jvu$nIgU1avljCubF2W9Jb3PzG zWG!o#rarD#JW~{n_?Qy$P4F4V;A}J%3=7H0IEA!<^|d;V>T`+7=lYV|oQt4Ujn8%| zC4e_Xc?m`Aho1>w@WvgOP=}Tm)cx_t>uYuH5kE3IChd3byf!rNpM5Iy*9}A8p3+)V z;ef3;2tP%h2=x{skfp2<~^jW5(Hve`xp|cH7A&(@VCWM^wbl-=_I& zPx^b>4V&9Dk3;P^gOeoscNL3p9u<9kC}^XRo6A_${BgXQ94}pYtEvEKH13=dauQX5 zOo0(Z$TwX(hcgRfpB8}JG4-7TUfz0lm`BBSZssjQhd>!Q;J!cq=KICJrEESnHV2gN zYvU{WSi8 zb&G2gqV}U{DvO@h>nX{L8RJZsck?{LEBm1uV5P;ht8QJB-WrrgG{2F|c$K^knoM1c z3#nOG-;H**Tzy|bmE3J84o*v58EO8-4|xQdyqzON1htr$x8msSM*}anU2XoWXF*3` zZ!6D%SOYsWgu5FTsP(zLDHt*$)Cx-~0Em@Odhs6d8>tFa(SU~ljOZEPki9)Qd&;|U z^W$f(7~e8))tSnT3w)C2ty$^rnR`C>fpfK*{j#wcX&BAEh9n1=>Vfy+qWyRu`T~7o z9qkB`xEJU7#7@$Hp`vz5laEiUINxP-1E2{)vi9hhmP@THp&|5@Q7e z`kZQh%Nis(SX$cWRIvapANd1BIbr-8X57GaOk?OYQKd+tpL>hTsmvoyOR?0}Ps#kc zNYRLe?!J#g3cv=QCzrvnc(FG&+RrzX6z%?gJ9GJAa{V_hDqPy8i?s2;K&iA&T7&?u z1}d-sb{|E{l2br$?*uU2gY|trx`r|o(TK9S!DyHP!5xf|oJ)Sb3aSl19;ieTnqYAm z5nI;kX%4D;V0g=SoYdZM_sm1Q>I#=u+_eVhnt!U2eZzZ>q+>2WfT#^D>gGWMSi0K{ z683s>DyFf8TP1_=MohKw4mK119gF34GN$tj;S=!_jVwESO~;I9^+rl=yH3k2-J9;X zO^GdxsL-#C-C!^pc7dEN+k&vgH-RE=1qF{)Q^2-eW5`w;MOK78v|$0GXuD|X!sg$i zlRPJPalv6@G7P+8bQH%~jhg$`p)V2+vYI z5qC`2%Cdv9H&+MQT0N^(-y2UlypDnbr3HYLu3qJOj$&yVv{ubw$r~u1Nkt^mYi&Ma1$`{TkeWR;;}3kq~%_GA7_38Wf#=; zXs9EbG?c0DqM|<#DW>DKlKF(Ksp@Y$%2{{;tZ?qLH#gy8<6;h?*>_7L_E28s&UudP zQk9D8oKF22eMVWb$QX@JN7TQu>ZQ3zg3^xbj3z2*ITe1u#a3+jLMtH`lP|!-dMU1# zvn&~Qyf#laQ#SCb{MgN`y2eA-cyoxIz*Y7N!FQmKL4{4(7t%7|SdTWbrqUC2A|Yb2 zS9F*~;V1rIbiHd>6KD7KjiMp~Mg>9Hjf#p^F;zhnV^ZseTEw`iqM)SKDgt8atw<_E z2HAyus#d93h>D0ij7oqCAt40F-C7NTf&?Wyt0EvXZo+6L(`Wg<|M&gy9MAK?qqWCT zLXx?zYh7!d=kJtG6)3r6iC*{@kRK4pOBbgeVde^_+J47kcrMV;7Y|G|LePOFl>|_{ zuBTNo0v}HMP{ND0Rk3ElSrr-d=B{=5lbaqsw)t3*sGXPP89Y#6yzj8OP2t{>a+y4}jSwn-z7ZE3$t$Va_(^Mwpj;)*x)>Ga zx}RRwfMdtmi_tKfjPnCeciptF{^(%M zG8s=+g*>=zy1!hb_n_x!OfKMw`-)in`V*s>SqLF8FIy$9`|p$-+y7qdihfPl9uHRk ze#SyzdsS}762vAcHMzrb!d68w&77Wh1|$vLVL%|H6@N)hl)!NrV{C|Tj6|B53}HK% zVJe`2o6t*+v^Lj8G>1F2oM{sztxugM*N@s8ns(z&LdX4+_cqGUGun{u#kAvn=D>A= zVfl_YEp07eYHB2Rw3I9FbGeS~dKHgXeA^~pW!AL8^f+A;!SRr^{6vwAGi|I*9=cuVajG$iqa%%BkR|-B}Cm_%%${Ixm zLl{V{)h${u&k#k$cYq0_`?^ZWU@sIPjgU&~;(+OG6=wQ{OXi<`wF zBkLYukM61 z6{mpb2BAblneb^spWkl{!6;xk8YSK!F_C1k0&diL}OhXQ@m$R&_NQOi^C?%`EoB_9ut?%TF&!Euh5VRGL6YSNKy<{}v?uO+ zg7De`#AXjLB$C}J=gF5Q{}!f$fNMtb0>u+_f<0y>H8-1bG)Tn4MGuw6i*SB8sq_;M z4$eq-qQ}Krmr+04E~5TcKmUP2Bx64HGagTm8x1U-HsOp%RMPowGOg=dpqO_x)6=TE zsQgKLz0nu^soP2GCVWMgSU$o$4@QeMzQG))AcWjm3W^%Bkq2O_VY&$FzabEqrykY2 zFo1<`U5ke8uU;|cr767TLVRKpAMfDW4a2+T;Nc7LbCzu2C#~-1cn45xfYoM^+HPU- z{M<9e3>Cuxil(GyE>sKE41h?WV>&>$%4I|f@}HuM+J!#oB0M5fdN6U_0=7SR*Uw!e zBS8G3@JH8s7Bv?nR&!hkjG81ett0J|@s+|QJJ3jSG#(70d@ ztW-eK(8re0^;!w zhcA6%jU>cr(;mrxwvWf`g?^yTiTNCJpVd187hY$I2NO93G=mIdYM9wYAurVpLQXqm zeyp*T2Mv*5AR28(630UU1-oSnE*(e!zH&Ssp|&kHJfqK0-a@D0N=i|aDt$!Nm8ZBkT1~Np}V2qABlGjZaE*XpIpi*KqgJMo&TVm zK!Yw(KI|Aty+Vm`!$$o)Qk!HX@*TC-(+jg@6?5!`HE9jo6gyfb$fgZECWVi@rHCSY;^+y+k5Tk9n|!{^IVrZR9yi6f&wygnkV_pZyxdpQGXY zZL(T^t*f&ADpLYPls8E@8V$TnE-&ASZib;onPrgNLzo*C3%Z2U(fBUm!e|X~7?K9K z*X4^hk){9tY>9cTNk8q2_{ zxoNCfcsyjnr(fs>)%LP=*U-b{S%Zt8ip{Kh8<6}N)O?J7q`U*V;=;KshC$h#JG9;3u#_z>WR3QA0>4GkhJe7d$rqufuv7jV{c)5X` zWexajd*|#Qv{x0MIZ;M;=t2uv?seG?{V!YKH=Wm_pAa}y@DAwM7pQRHLvcK?819oI zi|`gPzQ8E)>8oXXcW{0X>l`eZWXE+)fJV*DmyDzR`h`I|cvmlo2Qs!89U2=# z4IWJi%hgDA(%?!XqHCBR$Q=zTPV!03CUi2v%^G4k;q_tFM1H|u+}!*pKj8l3&eNki zs|bezP8`#RR!OSlN_#LKF2 zUt?foMvv&gV)ZT%+EiVUb(0<^INIMr&%+DrM9=t(xir)Z@ehYZ}&P)(m>vtU!2TrC}(dqMbLfTVN95SPGo zqPsZbsfElO79-iZbv-==pFu8Go#l?)^{W3Sq+8t}V$UOYp%VE#gAHxs=W@o#w-q@8 z6ayMlR4lG(DckVd>`MLxYAybz9{BRV&E932+u5=6*Pd_eFS#!M`>z@KUsA`!wBvNb zcgPrm&QaKIRVwe$bA)O=Lun{QsyiX#6cl zZ5QVQ06{?J7>06u*^mDr@8MF-B0*M1G_F-ivVtw2;<`W94m`8&rF$Z~04^FLKunqz zez(?C(KM8;tmO^DHZzJQK%9=y50HrppEy{#P~LO05O2jCbTJ7d{i)DctuG?XX=u2Zv=CxDfp~Zdb36(-RS~)AN^P@))?!zsUc1Y5E z1IdZbAy^>`EZYgRpCntDpr-cJKfKJ%2*(442S2;fQ<2)P!HSE3nmh{!?g4Zc^iRWW zsh}wmS>_NT^~-wj1i{xg7R1fOl3q6{F8R)CC)7)1qCz194Iv#( z=fs7OB)}^GAxeZgY&kYAmg6c%u$v@Iqgsl8^j+aQjr23cBTxuv)Um8&>#9Ci9yxA! zGkNG*ET<|6;8V;NB{`!@CCTI9;<&m8R}J?MHy6jiGAU|48K?A7#xOIGY6k!o7(Zhm zOYYjP3TMXgoarg(?%R)r-hx$To?7g-U&l$OozVRRFGDz!6y9qr?kYUMO2Ly&GfS7Y z&ma@5yZCXpGHYumu1RoqNpSw@_L=x~yhA6~&HUlPo0mmLQ~r6|?h)&wA;QnoUCJ6! zBR3uM#`s!0sKdvBy}IAXrzV-{u{{LGMAahW-pDbBK@isiBg9sRBC&>8R@7y5Pkjww z0AQ_BbZR-Zy1km`@JwUYrU{vJ_k}4*n;wRZkgTl2snqjM zft%LYx&0sid_`Zl8=gFUJ|cR5!2LTKih?;nkPRCO*Dq_r=^} z&M*{KS}Qkqy4ao-o{gFH!S<}Wpdhm@{LrDGN5u&RC3!W?J1>k|_x7=0sGaQirf;*p z`NrY7e&AobvR7v$`1T=k?wjiIWii*tw0_GbIIs3L|B!MYGw!Qb+G?SAU6uBD6?PyT zEEnhs)5kpptoEX#4;%mL6WP+FP0S|_CXWoo3J{Yh4VO99F-=kRd|Z!o#a>I7yQ z%(}7u|Sg^f)@(-+E79F-04@{E+1G+W3#(+vJqf4LCHHU}aXc1*^4Lyy{NLB@nb#OUI);Qkr}TIBYYBMu+#O@G?_Unu3W2lZjvic$xi%6^cXc zlL~@SMkB<}Kdin#!{x9ldJ&ETvs1oV$H@&a5F(MX8ePar8bx+?aqEH?tsMPWcIxrbmy#ftdcYDaw)MXo7T@=;}K}Y1xII{krkj z;RWLbeywbGBELv62Y^^Kt(GHw4V$QGW z+2>5m!Q&=G=cVE}$tUQJmYAMJt$obd+e!Yd^x8*MOMa!tg0=Z z%_tXG5nu$vP%Z zr_Er`i;Z4D4&fdqZnkg?8cvp5BglIj(s&}oEkNN;?Sw!v(3W}`d7{}3_~-&{#s#uI zC7D>>s>dtE>N8N%DuUHkSK&k6Z752?pbo1kUq1ov0s7-S2ju~N`oDHl+RuSV{=}z^*cM#-nOvtU%K$bSBDcGpO)uzylIzb~R(;0}{QSE&7yzgvrC%>ejLE zKKWCVV$5rS*M|VMYG?}Ry0MX*{YP!rlnD}@S2M3FLgV7M0r%Go&V##qdbectr{FMmcv9t?O#!=$b)C#Ff zgqaVab?4_(8@qJPb-(ha$bZK9tH}|By(y@qT9Y^P&O6XwC4U85O=`>S+LN74&(6FX zINDVd>~Z=-;7jL<4t{McTk=3zEY%emA}BYtN+RR8av@~ybWy7WnicTqFbY0-3>I10 z=z=11Uqd@w4c$<_!d3_jxXrfTkGvo=Z^kcvB3N$3(%4?G6<1f|p*cZHM}eOas+hq| zcsMyEGjTce>Ri*v6e0hQE#v81q_d$ z?TPDZj_Z)pP!2`fm|EPz%R_w8SVn<-E@#KVq3mS%9eUy?83MlQ{8Qgf$A65+-z-VxyQ z7TlVL2OEZta}M04zj*!0qxpVJQ}lQlS#&j;zUTX;?Kcxyi}$G6^MS*!W2lm_$?VCs z;vSf`DU;7X5Ll)*(};}Z%UrM5hvGQ*l`2NJ)RG0HU#qG7S9q3!G#S_d zeg?+}Oys>Y+c^iqS6R|-lPaV5N!k$1yAN5%2=vebDhUb?HUT~~i5O}YdJ@vq1JDe2 zA8wV5`XDXng!g{4jM&E+D{NP~4L}DXvlE$gq!O{mRL}%IU}M5LQ9Y*8EEx$N6A|n& zo4R9pvY>{pK^NS?$Y}ymlE?8QJaR4~QmlZ7>X`3UJg-%4ipW(=2UF2$xu02@hB0VA z`cb;U4I3+WAZpB(1D;!zDyi#J_~@&?arlrFpb?=9Ia#vcQ)}D&AMfRlC%3GQJz{lC z47=~YvZy&!O?$VKDMw9+1G$f0SC+fcQio+MSWs!It*I&Aj$$TBqq4i!R9bJ?Mr!d> z1`(vV_Z9oYckFDcu<2*0<8tXJ#tMDUeF+M1pw@l~? zG3~*$rxJPr!;HZ)t6v}H3SDe1Ia@p!$l0eIhbBJ8_Q6a&B9faO@ZMxucb z*rUxY=4L3S_h3yrA~e(gE&H2?~zkS#~=Il@R(I=kLJbD7^KmeQLTQ#2DWc{` zQ-$LmfeagI4FG7;&doS+~6sjwW+(9)!D3C)eT1ZDc((G9b+)zzAPx z2Bunp#uKYd0KPew_ldx7bC;C0+aMm*@4vmBJx0*W&-HcbMAuvqWQ}r-LT8XCu00df8#}xz z;Gu0^@dMXaH>crI?+d0k*_%hZ zA{p6jz{b5oYHJ$-K)eLHzKA6S(yX!WGBs8$8HBylOXx~{Dn*iwx-Ki@e{^ za(!nMyHyHw(!#qCrK|SghqE#)A9Cq zI|ywm`lHevpz59xnG1j&CjK^0buzl*_z{?$rbiK+^g-0o9DB+%|4YafpZDJzKRlX0 zIB0vDx+t^e`#)s+ck_dM(5#xd-(UQOy6fi^I(O#5zo@kCL&s0fJ4Hy-V2jo}3Pg>) z%kh{jd9pz}rO%^|kw>|j^e+490K6iHwLHb`7kzB$d|Z<=DW2!rS9@YzVDOoUB^_#E zmF%!?C*!njOs?JAAt%ASrf3(OK5gWWh3u_p20kSE5Pv`Ht2W3hO7Zx%?a15@bDv9g zo%*T`Wj$O~#>w5#V2V;L3=o_WoOzLa^6lRT{yAD?;EjG2(pA8UDiegNbrCseVqyi4 z)Hg@^p1$~m6l>baJ?dY`7{J=yYhE}gX&EXPd_DHa{rRNs?a;uId3=7%tcH>sPW-<2 z8y!YS$%v*;l+L%@#C3Y*J6nNwUalL?3PL9A*DWbeGU_Kzai0mX6~!M56IH#Hx;RUk(3SJ+`rKkZ}egJ(xKuF9ex|+7eaqrdotqW>*Z%pt}AKYlr?(W zw1Le*qbJOdP2Kr?eAt45&=-t1we<4Rg{8qUtiq7DbL@X_W39ME9uBX5NO3Op>BgM+ z@$Q{=l(W~p=(62+<2R4JD4y?jaK_Sm-!EG<>2htrr&0>}2l?0%^*6qM;~Qqa+VB-SOIfTW=agK&06WpJt%45~dYN(@dm6REcAf(jbf?Zio#1a7 zXS*y#x0;3uc@tRyhI3&)W$?Q9Y_}9Mgij=Q7~%x>m%?XA zH9w0LyxYVh{khFtd$>|v5eCy8*f?sgDV{%>w}bvKdp;SJ3|!n8%RKx+rh)5-@?>yK zh>n9OQsZQnj;A;eFO#wO@V6v(Uj7yGFb0n3>%cSjokRo-rO9^~Ttb_Br{XmY!RmkQ zd=*78X6@+S{^BD#onX0!_XOyfSjMRq;gkYY>{*dP#hRDgy_@^(n9z(Ilv7VY@#H z@5)F*gA_zHT!wOGQHSteW98!o=kR)CK6pm*Bu|uyflWXh+!X(VXR2DA#nEakz@KMSklCtH~jfiu6=^Wxg{dqD;#rDMC zRGC13A#N_t5?v5*R^uX3;M|*Ngh}kGC)1wmwhKbJZ8J_LY^K*0N_NM1-M<_%$E{oXB{IptD!3*5$^(6U4lDE;%CTioi(&e$_xN6H)Hd4ejV~?`Nv8SA8mK9B zYk9{pSqxZTEghCy_)uz)bWFVOG!Ph%Va8;WP%f^Y!5E6=vzw{ z;T3R`71Wy|^5rWplcB0od;CR}8SxdI+gVrG6^hqp$md;9Wu=GM!dKT#JIt8i~C zPCnQDWVXb4-wwx&zYX>uaocY}lBw~SH+y_MhOhqaEXVL$ov4N5uC<0U@;0v0`oY3H#d74Uf^ER}{T3 zI=F0UpZ4IgiKX4%@171n?|OH_>hnZ!@3TN@0tH(Bw6!EJ62^{(Gttb);yilZGxWz> zDZwBX=rg`}jBcpwy=xsKY#qALL;VOtjNJfM%@ZW@5P>M#c2XH*?eYM5vI(xKvDY^p zzVRA4N(nlJ(_=aKCgjOl;FF!Rl!;Vzm9-kFGn$0+j?->*8#@4Ito$)?dS@!0qvlLT zzavEzf(2#+Lw%pWio9;9ahly=dPf`!(@r55{dp5HmR1}(3@M(z-?tvES@!au!7j2s z>FT_Xn{W>?Y#7&u>CY4F3Z6jnjqRpP>D)`!sy|6m1NCDlHu*bT2tarLE>gQ;1F$?g0;;c5YGP6Q%f?83E0$M)r}1(*KGk0_`f76jk?oi?w7w&8 zY{z$RZyvcHGRUbJ;0He2ST=KfW#y})Ly^5|Q^4&jzwLYyb>F9bN`ag)A+xU7t}>WP ze%JB+=hhS{eZjNbX@i`Ev&x!nm9TUtK}QH|mK!+}&?KS+dX3}g2b@U(Z-Thw9I5IL zYUQ;)Y#|toZAfH}G1d-_FswMn&-H*%9ZsHdGJ6WTGaW`V z2iUWz7}5!DP8Hx7UnP9V_NlR*Q|^EA!Hq1!K>?pX#EB_zT`98cCJssnzs93ApTc_4 zAa0gM^&c{*k+Ve@fKDUBz-;bVPeLey@lE}8Ai#<*fl+tET|>gLp%#e?YsU}hLKD-8 ziolN+UB74OmPvidRjs~biRgm!Jcf%--`90!xUJzFH_UqYOE@CQc$eB&mo^@sd+-k}@=RlQsO{v2wci|!T5;_kyYFhB zOEeWeWrIC^y2^+D`+#c4B-ZR8hk+NpM~?3*|J`Rrz?)sW)0VD%zAoBWb7|}q`>Ja< zQl~sj4SFSgQOxhzW1(OnNT^jx7<)|G0Vxs=wtOnExC=I5_-emXEEjleB(Hkp)6@44 zM|@&F$t2ODID3^^(G^&*cr$suAH!cs zd$!S^^d?3QW~i6oo5G~|MXO(LjxY6o$aWTZ8RiRTo%k_)!E^fWhk||BsFlVBb9n7t zd}d_+tvHXh&t;tw{^^0nU|6-!2fux}4u0D?bdRp2Ty;l&>mlv*dP(ph@34fcu}C$u zu{&^`Dc}!&uJQX{$83uzI%wE9$oW~=iQQp{II+oMkz|5=?#(!Cv@(vLS~r}FhFgP# z?Y&bwZX|+~kDAq)n78GEpemd|vi`nTMun2gh#7yi#UgHsvHeM(j-hMY_I}w{tXC_u zw}tTFZ2H&kPch}OyhVxcPvptmXK(k@HOWUuywy;yQUepjCqGJ`fV$oG8{3~J2;EK$ zH8Rt9;}vcK={9^xg_K8zMs|V7?MZ_FA9%@djy%9{{d#}Y)ya{)rk7!N8h-pDaqRB8 z{PL80|F;(S#(VXDu{SI}QG0MXrX7Q8cf+ad4{T>Dsp|7f1eWd3QT% z*EdyBe@%a2|HrBCPEPe6GvRN=>f8l07B-KN&i?5Sdf51|?t_`#s$|g6+*5tcUP}7Y z;}$~5RIx|8&?^qP1&p0Jv=*qAnn5S?eJs2SNJGxTZrD}1sUM^{oU!7xArtW>uBgYg zO?g-8KNaJM=C|D{(>(Arw|CZtBv@(`Q_iA`t%s+*`)Tc>ao*nVWY2Bw-@aUGI5}q7 zpXRrsRs{cs|HRLPWU+&{Q{m)P1K%6nNcNeK!rob(%6Pu705Nnbt>1(8PdSAZZWg<3 zC-aP5ns}|<&i?2T5<~Rq8?TCa0}q#+a(+jB3GoZ)=zT{%UdB)V^Uo`Xeh1&~2g@2? z-ej!&-C~w}ODPEs(2@^KSE0%sc>z)V3;fL4!4mS^*9X zZFhu*b4%RHAJxZRK_(fQ84?dR1Ks>YsN_v=rs4TQYqbC3A*V4z8o z%^rsz{ll`_D3H)j^9xz*d1G5uVhKCtlrW2;CIy6pcXYEI@} z5PD#$S!^$KZre77ov=+JOM+$@^a&BT`dgb2_xCOg3lp!+0Vu$^M&!RB z4!f-@1WBqdTY&7%bE9XX-;n2G@FIhx39*-q-yu*!9`!cdznPiS9*Ya=H3~nx_Be_F zj@BqqV{nMFcxFB#=)AYV*BKw9yr?;38p;W|d=7sZ@tPh(%~6tz4d3A{LoHZ7$Gtip zlmG%{3q6a_Y9v!y%$TP@#3Mp;$_fAMJ?{>P(&QdSCS#VeBmw^{Zi9y8NJ#tH0nMYP z2`zidsb%ehiz}2q#5|)^1ru&n^u$M+!VlPlSl)Qyd_Adz2@*dQnvZKsu7WN$Ld_(< zrQQ2`XW(6h3g7;uZMBMNxUlvb$V+#a`3?eIJ9xkUdAG*Q$l|%uzOO@e)}9G&M2e#q zz!6qJ?E?SJSD1>$o(#sfRfO8OHk}BwD9D$%$Wq(>K{|o{vqs{k@Brk+?~uC#crxI? zREY|Xlq9t(UAwlz+A$1H>Nh{AGHKJZi@OSx`(7!$co3+2;!rZNFhKLh)bo4(#7Eyh zpV;Mh1I>S@HS-WE$d;$DqvnHV$;fqyEAp&WX>%k~gkK7_w7|$NH}O9B4~AQj0#FiC z9AK_8o5PQ-@)OjzDng)U0U&nBufvl6p$ zS4jRQOcjkfmP5H!WDNrqah&ZMI^8+lQu20da;EfaWY7>rfd;0Rbx zt=vmEgxP*CvV2We^R2voiJN=`{#SBnsy|3||uGCIjsc^ZljpL-4Cpc$L5oMz( z#<>a?CKTIyvBJ`+1p79w>wx##;M%HpWF2!*B}BtnlYvZ<$rE77k)S(tr)JpB53!ls z$>Num?VrOhWav&=WMoJwlkCC?c_^_+Z}A6q ziPWHkE5}f49g@16h(3W!o0lN4+7b{*V@&y*1S8!0eU!M6-e zMSU7bEB?}wZYv5x#sk57tUS>=l?*c`HDPHy50ptHs}R}j+tw68e=9Oh8FRwO$XB|u zy@U@Ql8j~sakvR_4P+Ghx6)&7<4LK3n4>N}+L4fohZ6hHAjWNKFDx4#eIJ{s+^hAZ z|5myjS*LJORnqs7>X?CtxViP{uzn&1Ng4BSY_I|rj0-tzUR#l3lui`9?dADuP1-z! zLAjW(&L&DPj4yX0lQwo7(N1_3x%R5bY1an+WV#jRkShJixYChi`l#rMaJXpmu~94z zQ_TKS*n#;RRPLAN^1LDK!D~e_#9?Bo%2*^@G9?Jpfzm-g?t^3;))av=REm+L*tyjH zQKfwMO@YU1{6KB5f5W4%SbpEYn>bIce9ZRSNk7n7oXP9S)*nc$Sy{~$p%J(!6oLh3 zg9WKrIbeohjUKDuU>{Fs9nWQhPb;5Za` zSp>vsvHJeE?JrkBryUu&($Me&qN{CXk0DRp5cpY+#!DE|Of%VaMX=m-v;@CC0GomS zw#UFr;DJT^kYWQ<^y#_YjY60$)<5X^AjSly>55CgN(pOqZ2(mRYdWr1N86D{N}b5VF2Bc|#JQAfvLy_f@%&8TEF6&T*}g7$ zMuu8^9C4y%?F9{hllj3pV*;jvm9zHtjn|wiOa%4Dy3e+ieP~R&p+sAVu2wRX#se$O zNn3x9ithP~<%4$z;*8T+4BX89#n}E`!N%6A8*~dSs>!b_^V~otInEFSko-_Vp3}eLo%W{kN67)=(*^U$AwB_iPl(nwcMj;@?^SXMXKJ7Cbl-(y<3E2(Ci#=G3G+j?RT7A46tQb(*b{28tq_ZmWK2RGI~2ZX z@ZC1pqV{1h$7YX4C)Pe@PeWG`oh49?!FX$>0h@q^kzY?>u%(vKdvgLAS_3}#@AmOd84 zD3D#p#_wm3z|T|@dzytT;-bJyRebRHWQlrcWYn_-r^=&N?x~3FJfwcP)b3-gr4%0d zECC1LJNmu2M~jg0=mR60y|i8V;~CPm?4B7RS64#mRb@G4le${ICkrl+o?(OYHHpCk z4MAF%Y3e6kkUi#0LqVw>t36!a*E#;v@A?vnJ7%Z~QQW=?*QhXjl?ogVCT$kx3c3jo zY6ax(iL)h6!WE>0DYWrEpB|TtGa*4he}Vj~Uwza=I08?~XKgh|-CpC}eM%P=pZK9e zRXVooACNI$z+N-l#Jh6{wkFJRsb3V<5scNGHwhMsJfh4C7LxU4REuel3Pj09&x`$voh1Zub1Zw7R?Lei~bXC@VC<-_~wQs?P>!pH8Cp+O_yIb7eR3o$nEwq;v&^=@9!m5KVq%9 z((@E%P2(p=^=r4x2&;lJKr3y_1Zed4}V%jSn|ZH!29As>(ZBwG;uS;)p{x=|_jq zr4YReHD%Fz>3Vo_4hUO1C9)LdU}CJ56I;jA)bnz%3BuWZzF*Wr(_!j+%o*8Nf^=3i05Hl?iLa@9p}=_(nDnc&#br~s<AFIVoah+ncum3aBJ<)~*% zMorhTaLZX%WbN6?`wKF!z1sAkt}`;|SJ~!2$6W9XJ$CA8=$td$CT1FfZ-g0Zqf!={ zqX5?v$Lakbq#HNu$@v}{=9A^dh?YKKVAOW>2mC+|XgnQSna7Kl+J5`2&cAM4jE9)P zZQa3|yxS!4LX$dZzg;U$@tlH?t_*3w%|Uc;B`y8Tt$>Z(SU*SMjxJMlR0itPm9FyX z*13$)P>daG0uzB)d_+^9Qy zD)iJpdS__kaydCXS85I1uS*@<r5U~RV6~hu zEhc=KPK-3IDVd)Mmw-mzq<>_@MU;*Ex9s{>Z{+9Q;1~}HUTah#sSc{hTFQgpdd$Cx zk<-gAvdp{-2a&R|O{n#qRV%~t^{z(J9%<6*cu?KT4p!n7CP_1*Q*X8XS}F8=MLX9K z$Uto+x{$Bq8C&xpRK%eUo=;Aiu39wM#c9gZ<{%U4ssGx21#!PV(i|C=LEdS4$yGe0 zxJKLZ9k(7G3EwSWY3$xZxS!^m#_oHVcz^ug0)Dfvcd;X7LRRXdJN(lgnc&yuAtkDbvy=KZV|w{?lW*-4R7Q4qIcu!FUSZ&tDo-iTdKL&Rk1F_kp6K(O8-Zr?F!p>k(!s=ipnkX`HIs!9VfL3wNM6R!PN-*BpNz0J@!-b)h18&~7uwjg)TB7yKtS0pQ9{o)d#lZ?8$X9Qmw&-~9!) ztZPrbCma^nYgfpdLJq6!hzFtGrc};ZCFx%)Quu+$SN3^y*dw7GtBt#sTL8=fEZgWV zdAw;TA9IGgHqQlJd&?%HBKH2E82{Pj>HzJ*q0C|SBHUvj!sNqjDMoUr6@+W+G0?Tx zL4{c$CF7dR=HP*$l;n$XXB5-uvjLwZnm<@3wmF@QFk=H}4f?St6JF}`b!{taG+ehE zYY>J!*gTY>yyc+*jbHDSM}rsfm>gjs*f2p}_AJ;WYK1<5XnmwZDm|Ngf!LGoJ*J6F z;6~&m>BnwQ4F2mhjw@Ih zJa|xJ=7|*Ewpz@PAMHgjbEyF63`g6fV8so_Z)RSP)9ouV@h0x?gNz;1mM^)xQzK*YaxH(mD(PzJl}zgs>EMIVt1eZ0RN zsL4aLBj3uTbrQfik+VXZd4;#BP3Ja4Gzj0Dejr@bj!rcVhBmpgndAyQ*pg%`gAZw! zL(}k<{kQe~pT=KSx}*Mwjl)Dl2D-^0@r+}SCiXSNUwG=n2Vj14!%X{6*%y`L0X&j^ z6f>OAPsh5&nxskPT|J{BkQ&aQ@>=9sC+uc&!YWH~ZEjfBo_Aq0|6@;m+ftLm=NNi1 za%O<#+?CiQ{?n@a0BT7u@~FtucwSgCF)eC7A;bb0r(w zS&NPJVX+H7Fx>=~!#x=j;@WqRQ-kK7THnUnb#3hEn$uUFZm0j#CxM6=YGTq?vOn&0 z{A>3;C$p=jXmj3KWi=}d=q<(B-fyhI*{n^(TGQ*tjDY8nJ6k+Qt~}mU#Q9Z^@BG(} zoS~#3q;i;Y?P)>WXm|RrNDgcdK7u4OXQ1Br3Qm02lke6&mN6M}P1!&an?|T;)ZRi-J1f>&FHd~q=IzJj=ix(Ik{>9&4EFGGEGk<)afA{vEg@=Vd2zxMm zDIppu`irtPQ)rmY8lE z?of__683lGw!^?j5W-1SddOzOnmAb!C*}S@*B!W4!yE(262qQ}nr8U2L1f(A>sya^ z<$vg@EPiv_c*4kZjlUI3GYZT7@SL(+TS($ zjwps-`k|rv)Y?w=%>JY$M{~;WUx`J9uqBnyT4hxch%7n6*62l$5%O9wkL<4`x2y%H zt=JKa3c~3Sx?r#2Or=&`1=;Wp+(E;i)c5D%%g}4*RD+IiGU3<6ahX=@H4;fl4;%0E%*y@&~R&%e!$9sJS~Mk74Ex$-+j;E-;8+9Y!k#ZVQzE?bhk0h zIdP`YN%4p1J7TgnO*Z|)llTPl-48B{-yiy4gnemP6L;1N+{;^u%hTtH@tSKXXJU35PGGr%a_(FQ>|pMj-e2m8YRD;A&=*_l?2v<(01(cu@FSx-2dPkh5X&&tf$yiv#KV1VZ> zd_^NVm)ZjS+r+sr#HfbI)qAm~VDgw$g%ks|yWBhrKssC^~^G4H#X^g zn;0K4Ay*8{~cDp#oI)!p3D#0tBk;`R)L(vW*tsUH``H)k6zVYs)Czdx|%|+m*|1kh7P+(-8KBcpVqp}peY=T!ik|G z&KjdQmq&>886|H@DsfMtEIoO9_RCJavcI_iJ9-{ggfrIe_t(LwW*VNQ>A-it2461w z>fzhkfrY`!E_IDZuJH7(*wYV7Aonx>&DxR3bwBU3ZfRv35U9C`wHnC%rM>(>q{ZVu zz56jZge?&Lp}jQ(y?+4x4ds3b{kTWw9|zF{LxomP(E|zdEwrE!2G?r6w5ThNFU(Y} z)G84lBzwsEv{6Q-r;$^4uwya>Q-{-cO|tBuz-x+400*TQF#ZIqlZ#;k-|EC8jf#E| zzOy=w)o> zE5!^=HP_+?o~4Qa)v((^SjtBg1_`wQWX{bz{LbUn#b#SkcS~{+*JkSalZdnRcS(~Z zC)sk5>xLqH_Z`0u29q0`b(qIq%%?S~PN?48`Z&zFbKopj6MCj;?SsyKTz67JY-8o{ ziEVIbIZXMzaEP8!yXhg8A{Y=@o!6VcMY&`s#7rY6Fu-iuq+R zR5u%u>CyjdBL08=e$ve9+0kacdGXQjkWFtr>APqg=+J}EDVASw-G!Fq(J}IrYH*I_ zItL2JDKjnk-MSjuy#u6`E4nyA=B+|Rh1`_V?h05I4O8zV86gvqWykHhezjp?}f0$cF$5|iv&}zpXvW$ zJ(ixlNV#+jZ}|-!7odS^NJ(eDassS9+sAX5_aF96`nBGuBU`_q$gc|pf zanQB5Aa2N6ukEm~AJKv4jqpQ1mxa-;U_6+h;5SN2-;`#+Gn?TYW4R&o{nDzzZq?+y zYT)-L8?EQb9IKPtP9ozA@(Vr;5ZF3xam#55Kmpo%soa~Bd{Jntj~F$dM?V98(0^?l zdq;aOQXzT}RDS4%o862EK*)f9ck2tr7Mj-zo7IC(xaAClsuTn`lZ{%HqyXezS|&s~ zRP;zmGbEdyLs#opaGkU-e7Se^oncfvv4ZAMF(fx?vr#V@V`dlxZV`$NiP{a+v15nR zV)O{@iJ7<*PG-V$a7eeVMA?M4IE-Hgkczui<7!=0@a1D`)G*5rOA_bcjj;;E|I5^G zsJ%~Vb{Bncr*XWH?~2+pN@U&Xq_diD|F#x!9r3Lx+>KLPFC7<&smM)uFQ5qbGBc)2MEy0P_7=xc?24$ZtjI?-Jol)aQRRH7~AqQ3go>jM>PBLOX?~zO2Z!34h zNTZLxx8h>^$-(<4U#8BdJBgq%P<`9QLN?-9q){N3cv~L~I+8oQ9P*vrH+wO}!LjsAk17)>IoH(6Tfx%SyIUkIfu9EVZ*B8nNIVibTss8(!% z8M?JrA5nq`#3lW_(PR1&ybNhz6^LfgM=dw;y>%?5WClRWK~E|>!29*gD7G8M>(fQ{ z!?Gaiu9;_5OB^}7{aac7XjJlLI)c(>5X`o4D?*gr)JqEv3dIJC>b^w9V_Cb$svRc z$`SnDlZe0r=seD43gJL)62vV9a zT0{r`^5o4b*P9=CK(|sg_<`jD=ZM*xo=PT(N~R54{fRwA`ru?$Vt_cB{3KlDO%&uqxmJ5U{`jpkj)Bx>NCxbp#-)^P zd*Un-8_OUB?ad}W5&t~%izgx%Dq{`hU#pR#fGTE}qM;ArLsay{tBcTRq9cvrtiDE1 zeHDaQum21pHtHF!t!A-?rD$3leBhP+AdEjTagA%&(%;t z`dRz<4A}=1+q4sYsU)I!z;uXkE!T}jZzpTY8U;@Da!3;uL>F)byRU2)Zhe#Ro5;Uk z?7&vdCVD0eh&+Z@=uWY$PTj!i`^0zm;As+3Rn5pz9%Z*_ibSrh)LFvMC~mLBi?j}S z3cpz5iY04uwLTmld!-<+#p}FLl9vcB>?A|4&2d+Yd~YQ%oDzjO?Je5U%Y@+gt2g7m z+ii|5G<#FcMtdltkv=x`Aq|UO#8hBqI&9)6mlpvMb_Yy zs#(O6@Tvf@B5?^2m!zsAx?dZD=U|iXPx~$4RQfcx;a{vi!pZNQ>lYfN1uW*yZkFlo zLnH+T@(_pw-t3ivffxnuen?Qf(msoJGiRnA5N>AoEdt##R(v=(oR_6upPu<8MKa~j zc|bykS2DMbp4TPw<%_y|CvcxpD38~_8z$9OCtL9S)Ks~G2L5fO;Djs`kn3dgm*{NB z#5i`DUTmqdet>>S^0K)r>HWV@>}$e}kz&UeT-TKycl2pu7mx*J8cx9k$-e*cS>vzt z4gn-|UDAL%vv?+DtJjL7a*VNK2vMm^&g1%1^#(hLXX?=yzQxn@yh*}HIX2lEhHj&( zje;3kp&xk4%^}vFB9irZHe9cn)){8WREnpn3M0SSj;?5_Y?s-Anl6Y`mFJv||JMew z2Tf?-Ytu~;Uf|R5be=aTyQuRzzjKL5-|J5w2TEI7SHtI@o6{j_WQ=|YHpVI4 z1L^=9%ca&pvH;c@ciCQkJ*d{%hG4E*pV7`POk`4*eOGU!R2HXajv zOj)kcXm4E|zQ>F^B)s5zihL!-5+87zig zOU+nXExMLEMwEj!SNAaxB%}h6y&HTB0%}Qnxnz=zfqvXXKoe|B#mLti=Tj1Ls(Hhs z?>YnXx>qglTh|r?kAyD2AGVIg3&|$`L zC*c{Ru{pm{U?V#Z%4e1{vIo4I<6eUC_PND+PpMo0lcPTNG$;e$Tw+lsp!WG0s_Kl9 zopm7wk1CH|F!fR!w1qMj`b7`d=|!i3QCj6-IVYO;B7o5f5vy~_h&Gw;vc@5Wy$(F|}ZAi8-A94_roklbGrw=fJQm zusLrYl?OG#M7L zrA4dbR>A4fYJN%LWa^+tMYBpQvgC>L$ng?m1C^R= z9ME`ya6+O$iezCF$GU_{H7^~s_R69F0pb-^L}WK2_G!2~hizW*g30&!i>S%DQB!}r z`PTF`VH#!mW7^?3ivcx!Iw#tk^fZoIsWyEEby5Zt-x+ze+J-wd@~4Xazn%?SW__}OM^^;fRTPr@FF4Y=rFO>5p-7BxX)XV zC0#BcnibZS-5i#lyhtDIK^-`@WUPYg&+GTo?>QHhcL^6CVi$02f2k(~FZpfk0hYdI zY~gikK!Gt1Ns6mH$e?Ua7;)DyS9s9KQn38cU`VwlYK;wv5rQ1V2VKT0)F=E3^qzT8 z?Bpb;rez8sB(N=FkU{22R9eU%>zQW`5s9dA6J$R_^b9<%)p)X|FU??|gYBLQD`_>^ z+X1gpG!30Z@Ji?;P@0(7eK1yKSm#jESW7Etujg**fw1yHf5LVU48YXq8`DAQcBnvE)CGLQhRt2_{>xae|u+I9E^*_W% z=T#~P!^B_kso?EMQ&lZd+Xmk3WrwhSHjn&{kdC<+uxUbE7pPGm`!s=HdX$yR3E4>m z>61^ObD=U}w5J~Wtu^}kNMZyDs=gQ_4@PMsHJ>pibAK6cuf+SbMokG9lpjQyco>7@ zQbVShR)Z+bS;x!cJEFlx#1Ds*MGnLutS0`J=tmxx7-Gk^nxn0~)`gZITH`<^y``V( zZhN;6swB||^e)S3lz%AH8MC3fgq&3J)RvqbPr^PlcBe-rnLe;IHVOtZIs&3dR`#16 z5o;*V3-lCAQERGx?Ma0yb}+cyP%supWb!SSXt>$E!L2L0o1SmtGej=*CzhXr2ti)M z3*#BbR=xqFzFc=k7D;_0^R}Vi!Psn+39-%}L9SR7IN_Ec`BP<4Z zi5svotM7V?GgWDIYBaMcUOceQ>e3XFhQu5)1fbnTCEdE;g zpvmD)rPno(Wv5B@)_UsHMSyab(?Pc(#Eq@?s#t7;j$MAQfp4zKLbqOiIewjzZtg|l zPMUJi@|`FgJp!D{iH?ehyhDN#7StrUh^`!~XST7$0b!(lE+^86FkY4;E{B05qc1NE zU1)W$WJjz`_ghDv?o~XG*0b|3RtbKzP66LSnELVhz7f)hc~NRr6txVD0jUMevfc-CgOw&OrdDMdjzubgqZx$q zdg-l~K#ayy`FX!J@40!$vIb6ffwdc%2{SvGlLgt!k^W?r$d~Z!9h*c(wzHUj))U6x zYGFt1sWV6%K>tt)6)Kh7F{AyO=u!N*;;PhL(LYVZg)|#_9X4q%+nC=(9oPeXu)O{z zDD@`BhRgzbkL4`#mDXi@w0RljXHr;hVEZKfuT864sH=L$B=kQd28rb|q;E67|MeCs zi`=5%JG5dXs~rQ1=T%{|9IyB3f)j2+Em}-)mQxDo=JQUGGmde)BB=BQ_7G^o8ht-N zvdb|gbwTI5rPXpzdKib~63{%}m7WNXhjZvUx|p2iHRw&wrDCm4Fy)o=nGMo`W@B@Q z)DwOut`{!5L!FXR$tu1}E1L|8dz9XK%)Es5AhvxUSflLZz4X&-vfr@t1|5iUg~T~w zugU68F!CA%_S6<$54y^L_>624Vv34+@yvWi5xT*E&!9S0gG+w=GG${;2MpUzy^I+1 zyt_AIBEI?=A9;;m!P?g$x=Rv2ra_~Cx4{jtoZKNb#@Iqb>HEw`uwiqA z?*3;H7#?W>!IJ2)EX;w+e1W^c2(nurB*#l8BsU9Gz`ZAa5?1g}@ZD(U1)&yBU&Y0n zy@xB~=yZ}l(QVp8J-Q?Uwt77VMVfm4oRKX$JYB2gDo}F4@fhmB=CJQ)USFdwemiC_C$67dvL*bk zZ|A~!=WQn9%eL#1dVw##A1@V(DoKs5r;w$K)=8VW~RKjo5W+bM5=Rd)WKdQ~#px#vV(>F&Co$3{T zYD1r}R4si22jUVj4Oqpece|M}kD(X}!rGV9yo)h`S5i4i)h~|LjMeHb3Ca(~x0Y=Z z9J%(Ciqb9`80DPyE|OdESR<>g{1H^D6ewy_#=asy>hUu{<(i!t_WbTR96*QusQS=y z)ho%|+WhMJUj)&x%W*R1J30KT-qF8oJYpYcSr9~OZ~B%3kNFdc+$M6$gFO9MbbE`- zWynBxd@da57+gmF*QTuXpdPnHIWV!*IzNpsfeCkK2kyy9*Guh%US0nQE)a9p&v+T~ zv_DV@cZT;17;SvP>wEpBj7qpHtZdgdG89pnh6r^Zwuk5WC0tg?kaX%|uVbkfSQiW< zE?gG6f_h6b+kzpTS0Wd?(QC+zB92QkG4gpp)RQF~jG^S^N!+LWPA}^XX21Ff**c=( z954d)Ig;3a$EYnFTtVOpiJg%D>5f>XEF8M`P{dIfBRA!*yKrV)S+%ftsk{8>zV!z~ z*9Sg%HauSHZ*bg}Q1jmhOU>ye*Z78O7nUDV0X1O5hKamxF}8X+OoN9wA>G zEl^APLqL-F`)V0P>R>@o;d))tR7 zmLeM;CR67Pi2rcFQkGk9+sa_eU)6hGzXT+2{&CR-Axv>#R$%uxm1Wq#ZVvzuAv;^7 zw1$yb;f?NV}N_xrDgTum^o3@)!mwMX^BD&f7NZNKQ*oNr{(`XNLqTS@Z@lzUvV$Q6Y)n& z;2-Vbhn}N-sIyl@5BN8OMhn2N3?h)~4dOnqU|v8pkKQ>?JCL6RETfK93%4Zp@bX~E zjN8))g&+3lXOz;RzZqR3Ro@;+e8#W)d-%rBEzMFn?}Y3_f=RnanqE=%B-7*!sO1jp za|y8lrj(!|Y0V^^O}|@Zh~VfvBe z6vvmMQ*^sVhjqJ(7 zaiA)Zy`a;$z+YAOA~BFC!!NEeuw1Ab`KzCgU10eN6Slvu8z1ZYq-nEyNjhhr_`vWN zHnHGRJ2UK3OfA;FRJ4FP`oLw^k=9MHgG}>?t}lg0HCuY=^|jCVqCRBOqjb(}{k_>2 zxl74IT?y^}XTCRV{^jN7f!6SSVM&+fNm{m2V{kCu=n{lUsi5(~(AsZX4oghc@X)`F zdmv5;yCVgCirMl*=+oDF=OfqWlJkPO)s6zEsG;nWFaNc@T68Ht*~XGpV)-hkX=ug7 zzaLt7fA3YrmRR;l#u4jp+ZNdB+0+8wXlau9py>C+Fwotf`$nq(0pA3``g^1^gwidK zL+{po+GA|TVa$`t%H{iTcIZ3(T{#UcLnRzGRI2gBgR}0+T^o|(x+<&-$2N`i{v$Ab z0-tg2OYN=ZF+cMxnn!5GqM7|vtZCarXcxWID#d5ySDy-pce zQ-vd|VWmI@_H1+L)eqrjkgxo+r~YE>=g)ZQoO~Zcut)81=;!vY0||VGr5O@feYuSw z;16ooz(XQ7@u+R3zg@OAil zsb~@9`x$7_j_A*Jy^6$Kc?7P<<(V=sU~C(v*5z2QR#-@kGu#Yc;LqVN;ofGMrb8-9j0YA3gQ?-BIPd!Cf z6BNfROFmWoXPT?S=c^vLe*3NrTblM`<;Pv@u=Ge}NXol4u&l;brn&ejneoASrpC+B zUwiLZLq(edQFkiS918AY_wgL7JL*T=mt>=E z`jN%axoc8q{C552(ZSnI-^GdDgas<#{+RG$RA96Z#*7m1MN&l^?QDG0U2i=AN4#92 zybnhf)Q;I%@Q#D$9BUN0rR*ZMhhM#SXcB)dQ#T zG`J$-g3KC#0rwnV^8cmJ8n4h%ch_s@{wuB%`HO(YvHl+4R0x>PV$s6@J8F0*p;aE8 zIL?WHSxC0;lnMELc1JhOgg@j{hzhTFy`#eh_P_p;JahBf@WjmoA;T1CsgOAj(#z_J zKv^+hF>hFf^1-eytQhg-oxl$y|MkPsvEiTIoO-)<)V_yzjAA}xzM z4?Rq!>#^MUydPLDV{c%mR$wLUeRI1}puqlU`v&8|XWrcRlyRBaYf8{5#o=*VC`w9=^6QVJpnhOwLs?* zq?Ft&1#+K(?LjdV@m{!5>_we)W(2gG-M2qa?X8+aCOj8T<`;*r+^RrV2l(E8eB-W` z3SyOT){yR|qu^*56{{LtNH(1&2TTBEix#8Elec1@k*XyPtjUM9{V=M{wN83YF=ELe z@!Kmf4#-c^Mdw>t!tRgji8c2n$Ng55f%hg9&LPQ%(*k?L%=<-;`3)=uyBLFeve&%g zOOa+J)*zE6&Z^Ayfq*d0-j{NM5%FT z8^4?w!WMSVV1id6VfG6nTt@?s&&mGNz)d z8lGGf6hRq7Hi`=Zh`pE-HyCe6iV!<1dpl^6-~kIVv2>lL5NFIg^ZJwSVCkQaxlC~N zUG@YCm$|@ZVf+cwv+3v_%Skq#+DL|1L-Yp7Ys`T>(p8W>tTzi>cYq8M4(>E)8luIG z__PR>##ee`cF!zJ?HkGDk$_1h>NB@S+~^f8;Vic|FX#*p#FdoIhHoA(3y1o!v8GLH zgK{5$#+4fo70VHgs};UhY29VEBNggAbjk%Y5SFS8cELKYL zsv-*#9?qlEju1^OcT9e#gX$#R1`Th!10C1dmmEZ1Dt zbUGNyhS*zF4Uzo$DLyrqP;dIhaxD}uMViG5Y>M?^%aF1}Qv9{h8BArAmJ9gqO#p13 z`|D<9Tlb(aTfMr`#`42$IDPg|C34mjY!@pJVTeA6+xs4rJIkFT`Ym9V*uYdcNJrtt zXCqAD^df})i8o6?_agzw!(Dh=MbyiC>5}6mk)IDKzI(L>hn+!Hv+4_9)+AsfyJjN=aXh>GJokl~*X_3$dx} z$)W$+h$XkQiYON}i45o*4M3<3y5OJ!y#T^na^k7)C@;*;5 zRY-06U-sb6uXbg>G<-01JJ!C!I&TNfvC&(awBOrs>kYxAk(W;Mwj<0w)ZKu zt{lk4)a}1&4uRg>l%>bB!1TAo?|Fvq+e-H6dvE==CO(4}kk}Tg^x)HGySb(lmQ#RWw2CxZH_#X74*(ShF^38N9x?DBL+bz?^td&dkh7*k$lLy_;PAQs zoDl%c?Nq@eFh`^h>iKR|OC*nqwgypt22A1gn1cjLlsjv1B` z{Di{0sH4Txo9#dPTc`s8f1;vM@G*Dk7s*SVNG~d_O}sq1<4r zivRnn`J*`DFK9$7wT7F=1=gu^NPA0d%+$-1-Yp}bIP2Dlv$%_Z{W?2=-T+<53Gnl9 zYq0$Cwk~5k{tF??yFhONBl!$CNi#aM{UK^-+C-6_ zi<%!Afiwyf@Be+8bzwAc_5v-JU{Am}az7(K76M*U*nKQxGepNx3jO|~1LvXQ@)ZRP zW4?|4$a*rMgy>*r;~t!JT|y?@+pLE2u}S($ZD9+$7if z-LhKhkYvbyV_6!JB!2&5)5+TvLkih6%eht;9him&^Pak(wg3QD1%ZRKwlH8G%$BD- zMB~gn>UGCANbw;{bVv9?t^{e<7HpHayrP3rCXM{p=9e1UEx~jUPOYQXhi)*qWwG-R z{q~e?*o-i!iycVu=rGZ%;`^gO`Th77kz5c~$3+H`RsCM|WTVJ?cwv$;5-Z?4hn7>v zj$GM}CQxUgGMSEU?oN7i{ZQiTHa@XlG8sa%%SVq8NiY`j;T$v+Vmo=I0p8?98;eP0 zeoRQ@@T5m@2aY`%0`an}0)G93y2P?v;1!2%fAq?_kX}g5CIyDeHTpDj0H&-7}g`?J%!TNerjz&(oVRSSNRJG7cM#C$~~tL294G_)7!n4yFgBRH?NSJ>=U$-_GdI zfCRYLwG^i3L7tWpcf$63d8p2>17qNV^JJzfkwKM%;bBG_1g5I>PqbqjjQ*}8#yNW> zlSSUb0{!T=abn|;ekw324I`-&T<)n@if{Q!7-L{&aWasizlex34$HVn2Z;{_`k|6} zM0wF69y6kVKA_Ji<9VP_SUelli=0p~W(d=iMHhqer;JM60%eq1 zx*h$vH~Gm|U9Wb6JTkrE{@iF}062B?glelJ4r$QVM_L!zJd|g-C0ap$ZuyA|x(TMX zDM-QS<99$J9ag)y%F%MMTNNn9J)u zq$!Bdx{Y(EwI>r7y5HWwmqI*GxNPzrOO9+&D()fs0F$4h1lOr<(=kwzk}*n|V~=$% z&Fu~aQtb&UCMokgdX(Un8j*sl21Z}A`h#<;+xZQF_r}Xmcim~q--s~h)g9QIwEiO) z{_#d3%+FK?W3k27Zqd9a=swPB^Rh$=2yw0r8b?YUQx5WAXGUQJ%c;?SPPbt^|I$Ow zZh?^ne7{K0kfj;R6}6U?F>x^d57P5MW2d;f<~k+v{KNv=BuSE^{UswCTr1u6UN?<# zP#;Qs(M=>|8F>|yz%y`Pe;(T_jMXlS9)}E4!({SDWKb!0N$EidiEi~fmZ_6akt2HUPgO9BOwyIws#|Ilaoln{WA%My-Agbr9WZ}X@ zV>Briix$IO*-o-yvvHC*Em~#Vcx;=*jrM%g3=dECo}h;bT+Q;vlCeA}D0-EesV{sPN@&kJ4cmqO)c4G}E9bc8brXP)ZYqVJC4qbBRGfFfBRq-K7gbmBtfS}te#oo- zyyM}I2i=aV|MEV;zq_zO{f_|;LInrR(KO3ai>D*-e_fCicJZJKk$)ZzbPQhIE}BIq zkNySf?A-VX=q@D;EJY0I+Mludj0QvKj4x0Ka(k%J)q(9BU?}=&YpitM$xfd;TTd?IT);TeKPE7O$IA8XRq&^Q1GvOX z7DlfmHSN-VjbVIjC-~su3_6KWd_if6YNJN2aYNUVy{h?u{dx<__1s#$hM6L1K$Hvz zu+9hjDQOz4sTgS z{6F)gzcw>pYyn`&ORAI>4=#nqg`qDf5IIp=c{BjI3GAuD zT19-o`9FuX*J1ndaz*}-{;hSP-Os~kXon6UOfR()E>`r!ZcbdK*E&&2YjPAK?}zCI z(mCw$+Fm))p4McDDd~1v@Bg^>8>?yL-{s)0ou;K0)^zat*7}1wVeyE#u)ll^Ixf3w z@U)PQDo;rJ1nwB$(>e*@hHBB`An-0erRNtPk^u199);|InzB}30|v=(^+I{Fqg)sA z0&kd5j`wxPcZc1ToZ!2&KBKCMev<%Ha&7Niuj<*3KsD$eDnWM|E6>@=MP6O|VI=k9 zO)n`fZuIy2q=|UaTTJhfc{~K5e*#o~uhP{;^p}GyLYhYhrOs+wH4Zr{*wgU(lw%IEv(TLz!&{Lo@iS+Uide3P_##EJJRG;bD+8t ztWzdro)+=v0~CDP4>}Eg+jg*(h#O>!HglPz&EzQ!U9GF4MmwkVlp+wnF?O}pJY)N+ zrPt1UFnE7YuZ!tv=+Kd!;#hI?qR~H}spc*EWYHfl(v_Ebe?hw9Mt6%ws-@AT${qhT&+>L*nm@!EOrVf|R z%m+@99n?bE)<4>sX2T7jo*CZNv3f!F)&hgxZ}PH9bj^RLCH^69bUAzx{08k?mm(<= zDo_CFL; zZa`3e((P=rLSYv9of2fuOG}$&|N5b%F@1a#j!t`b(L;&HK8W|~PcpSUMpC5IeCFuD zHL;CIdDJzB9O$T;`V%4_IUuFi)syEYa>}ywzd|?fwzY{|Pc>iZThAzIWn^4S9bEpH zKDI{-)o*M!$eD~&p4_+&j=m)TX(e0@wIGKtH(%K<`i;-nH@;eNX{3fePI15~0C}3gw9b=`w46B%&{<+i z{F(1-h2;h$3*Df{JzpXoa~{`y8P~7K(6gN7O(G}4|A%nK)g4q*!cRN;Lw|b+j_D1T zYTBgzz3{el5tbSb6SB7{v-xAV4*5p*aoN1v)FuGztGplq3C!F*Kv^X#alN-o;=_#X zhNFjPk9twZQX`HxS|UCL#P&mwI&D^-1*Ks5h*R-_TVoA`Thgbu7o) z7ht-JiF0=(gArX7@WP-WZgnB}AK$fHw0ghL+P!6a3I9>6u^A%g_|D`@R7Ny)LE;{k z%CXPPAPYWkspwV67|jH;s5Oyu?BW1GYo~9+kLY_DUfzH1Cke5ZM=ia3KkY)(oo{>K zE(HUcboP?-MIRM4ra>ud&?;BT)ys<6)8hHh_dcjRFaG4)tlT4rJEptFXTFiRT6@dm zW825!%)3V=o0nnj@uDE>{aAOP{<6vrr%55OG!)sJYdQ6n1xDC1$H%~Y%TdTC5o|z= z;)n8Dx9IRuc0k%<2z%j9rcR|FA(w<-T|lV25aLs$e~SShV-pO6S1nnf_C=I%P&?r% zP=876_P1`jz^CVtmz4did_?cvi+Zw)WV1w?_&@pej6&I*H9D-YB5FeUA$L;3aLdM` zz$Y4c)W;9e|4?zHcgG}xug0jw{48!1`T@ynA1~4pYb4Z)T}FlIg97==?!DG&mb@^Q zldx9-BppdnD8CRU71mi)q#B4-W2pxIR7l9nG~RQTTf>Mw(u;eW;>)w>SbeE)OiY^J zx!|$b*E#xFF-!{FjhfNdWX*a@4yGIF#C%adz%lI&_rVdHXYzym=a4phx+n-u?=3+$ zQ8&p`3SYc{v*RkmJ&o)36Z#=|k`jRQ93dHNs2I49914yL8fq`c-9T=yEZbOOo!H-6 z%TPxx(_XT{JP?Q2UtOh^*xG&d831XvGKTO}2kWL}?6=y!mtNsb6+vyR{=eQ}F7!;m zBBJ&h`u%-GZnOtQbHa>#-`efxzDWRQ*06@o4>w6GST7a^@Rx1gke3)TXL)T$TkWjf zyY~cnAD(rt=H&GnyYCn}qt#`|auSpxEb|IczZHRinUCl-Ii@*e?-*>~vwb>vx@9Gvgp%B-`WMr+x+Y)dY(6Qh5`Ct#h-qF#fFPo32|mt^as&S?~5?yD%RZroY)DA6-VKa^vg&+=L= zr6LWUy;pw$iN{z*}%?S-451@`D{ejg2N5A2kR?Qo&hRRqQoH00V6I} zHmm+YsR0}d)QDH>Tmvt^A0KlgJ2dMWsbiN`nLiMolKV!h#Q7Lg#f8x5s_G2jqSs};uk}IFAW*zh z?R)MIFOw_~qG!bY3M2nG$L)Hm7cuLf>k0CQ6s9=y{Z9>>Qod8#ReiF7J+?u#6PDpD zEP1>bxiwC^mzWYLgzS!c5+)Jb%M%w+HRMft^?^NM_t}OTaJGzr87@mHa7`2JJaBk- zhJj@-R2zbd(8U;*w4|xIzbxm-(Q+5tT5daV5e8GBxTQ>}g^nKGIk zf(M&_elf)FdGjNn5=>Kk2nFXE^Pk_T3hR^IC(XQ@&(>be6r|N$xOul+F#1Ha0Bdg# z06{@I)CZR+i%vW(wblTruG%m@x<-G_Px116S*=u9VtQ*SZ%DUav#$5A|Jv}XgxAk4 zk7?D5e}8FXKgQvY0GfIPaG_6cZiU)C;r~o;w-*0j;h!{sg4yq|-)AB^C}B*3mAF-M z$k&o9RpS)9hCZ*bI>Gd1&uh38hbLA^pMLs(eTV;9r%Bm#rU_Z}_5pVsJt(f>{?z;Z zc8CN!P%Au@Kn_+DW^lDSL2uOwMc@_C(b9YT&AlyZ(H!dQmb!_eQL8^yu97-F=By%q zA5c~Wl8I0`x#24?^Dol zj9oIPP!c^pdXD(#wQ+~!JyN%b{2SUgNatV|$QW^u$N@Uo(Ft=fEQLit{_1Vh_KO17 z$s%74x&VFEtQh1H?ED5K&$yKsFKo>qBUB&?sNYQ}^i8!!RxyMqxlmi=g8{^z9KF_o zidogoVe9$!sYk&$kgY%GzP>9oeXbk|_TW+UL;d(PVZy5Byz~Z^qdN8HxEtqE_fH;3 zh!(gMW-pk%BeGKl?$27A_w>fpfEku*kkR;1zy}UeC9=q=d3s(M=1eol)$$5K@r}P% z`rnYIo(HU2Qyd)3Nvp*R!!!63o?NC0jzX;!DOYocD*sOb?MnA01vyQo)t6Ccac@%s7gEEjxFr30`venV1OZd7$! zOT?HD;njgTqXz+2m1b#e#zE}*iHzE66!;H^^PR@f)xV=5@WW!U_SI}?xt4=|x7>is zwXVVe;~#u`cdOIt+J0e4M-`Hw1!m@kV=R3bkh|Ss5|Y=N=uaKfjqPH115IS5#GgBd zTKc@sZZ z=HsGI_|m=2_Zlc*LRJrn3%)*9^6y>CcaY>IS`K-&i|J1(7t&Uz&e!*FX9;Vqj`rY} z*B@JUnBNy>^#wE|cGTS*FXR~}#+(fThS1rx$5JWsiW>y=XS2d`&@c~irIXEHP)4B8 zr@|2Y$9axSE<*(ce%4Vy>RquIW>BNT!XVvv;QJ zE$%vx{=fbj%Huc!PVBC~BpC%(5o;0}PQ-SwvL!AJdb4p5zK z_!RCOxX7OT1q$c21NsH_qo<#!#3vv9@$lFEm%`5shjl6^j^?uG2LG`9huFzJ)r)R* z@XBX>^Tj%`M9i6MW{VWtDwvQ!8DMLXAYb`#5ThU8j@3U`&&ZXzp$uR96AdG^M-JnY zBUFL{?o8rJfpWV4(#4ml6dBTRr!14lHMMOo6g|rLx0$pzO|{gZvF4bUJ&EoQxo$yi z_rTxskvRgk@1{WN$#wd3(jPDT`H|I&o(yPT2E_W7KtKuOcwJI~Azf3<_2fTW+I$s| zP|rrSLhIuwU0NXixxD@A>mZOxn&wgJ8nBSvh?T~R+Zhl|6_kr4V_8V!#6EK%09 zzP~yZ4;e2a+xZ@V<4T;+H8(Ba!JMXA&(F063Eg$p?~Tvrngqn@j)LkD(NrpA=Z$zU z5IQ7z9Gs!zxEKsPp^54JT-D9&Ca#+pme*_n4cD!$D!Y6~KGS5~E6>EFTdANDQT`|Y>Q z-~O}a$P{o2rad6eA&x9DU9#NdvgufAm7bL&V%@Tw$8{B4j^zh|Ec|6eOL9SLnoe?} zi8W&!6T`ZCR)6^v=`|2b)ffam zF+_=OX~~t5#MzB73e@JW47`!2tF3H+u|#a!H#=T#e!hJGEE9H3x_QysBbm&3Po{Rg zZ7ID(MUep`rXQ#{bHoVd-JmT?WK)66e6Ig+)nthpaiZtK7+LFL4Hp`8LcBw?bPtT= zJGW5z5|{I&R8f03IC1hImsi-oW?V6VMEA&6qIO{O#3%F0qI9x144Jx6&MZT`h(Qs&479ApPz7yuL7&kNmnI4VYF8dKK&KCN}rUP8TMvr zI;!~GQjTUs(4IkUc+?oamr#Rvf8t17!9Z{yEvhzFt)h@ZERs*m$opL6nTcMv=YBali_~d+2eh=`?SNTbul9Wu)iGjHTTX22H65T*F=9=_^TnGjO)z5r9bwRZFyU-3 zfiZEXA$hcu1nIFG*js)RmyiHKKXQT7Ud)5hj!#9WK;ZkJJVp{=?bg~g)Cc5Tr=D2~ z#_U3Uh_W!?lDMl)zQfbkk z5-N!j$z1l3lr@S5AxR}klcu>++LyWMR_NAH5|X6NQcZgZqoP&KhNSHpX}UBsm-FiL zIiGXR<2=sy{PA1v$D`ZrHmD~tM>t038r zsY`TcE(BcXSXej$pVneH-R(I|ol}mZd~yN}+Y!()BII4Ng}t;VEiN5;pHbp_ZzQ^r zwN+#h%LmVplAw1`Ce!q-<~6 ziT<;@Mt@qOFbd0%WVSOY5CD$MI$4bE4Y@!l;tzz*8$^P8g%-MqdVvD9Moel5=v>gZ z72gLo%^5=0V0(xl*=;{iGPLBkWlj)e;Q9g5py}9afjLVR9$66*M{PtP{3YP1(9c%3 zf^WHzShCH}-{w>B&EB&;-*SW_mjD3Nv~W;-gy-@RkA5Emo| zhX=WRpjy2H*D!B>Y!p))O?v(B3xm!qCAwTyyhS7=J;o72C0Oj`+&o<3cZT`C zU%bHzGI$3yq(?wW?I#i&zW9PdvlHH=r!Iq1v2Ja^<8FLsYh4?n%W%nMEW(Mj(za0= zow6@cG+Q!J`aHl_tkigu8lyO2Dh>f%7)gpSP)Ml@6Oj{u2~bJXW2nQ(4%m)2ip?7` zl`xmucjhj5uowk{2=7OX)i1>`eQi4>YVd^Iwnnn5>9uERYr_+&1@7AA@ju#IaAE^Tpe7MBonJzmh;>-5ju z{Pg#P?Fj4Z6Mzb~^bYKJ@!a>-=9dg}=~3b>+kk4yY~d&amIf^)66iL#teZ#_TzMBq z;tx78)Q6SOy9VFrb<}J~LqzNB-rwqQP>?M^I=vAWpjri?-Lc6?CI6x&tagQ|iaKoqa+Y3pq(kqNq{L%OZ-c z;(kUjMT~v&EU3;R?J}peP*(&0(h5U8@QnO-w;49@I@P1`mDBOVk7S#~_BnUY(Zi}V z@S?g>ORy?jk0d&*@Cl+sQ9p$k-N`$+=xnh0n#~xZ#^fPS$Kz2SPBN#Emk6udLfjXs zc}oyyv7#?hYIugSL%7>fkW;GS?Gh#|8N%*5M6D9yH0oUGyu(;)KSg)gL5&r_zmfIw z6T?6hbg%c&p^`!Skhg%FatBXHH!+TjM~2LVyvPfjB34{6ty@>3kSI~cuY`)Zo|AEZ z!I;_h(l+#z-%b>0cT)D*LYdqoBYMn6**W7|Z@)?3b>r zScGvR7+YXxdx-ggYAVhhQo)4X2XO0rf{PS;53Pp#{1fkgAVVS5ni5xrlyV{-0R|Am zc^VLXw{^y5EOrm(U600bbV@j#1$Fjoa}zT}4Gj$d9a6|{GF33w0$?@)c}v2Kjep$5muC$^ge;S>+{_f*{ZV4Rji2M(v%;rrwdym0vx8Z4?%GBq4ppxGKsQ*u**rQJ3Y2I!_R_WQy zKPdAF^AQ=ysa;{Ktj5e$Re70I2ejG=@g9ky71IUSY@-_Zpn4AK;-#njhfByY zT_N=WuQ{+7Zlq9T8|8OE=0OKG#8I)Ypsc~ks@sj<*GP_=}B}LJ}I@_04jKnd_`IIDgAgNFn5L{w4&VmWo3eosQR_nh2j*Vj)4xVPL zp=3aRUW*}6omFe;0IUMPkJM=lbGYjdWPp-HUhnvE5qhO_S&0*w72>DuqW3wE7>mT@ z*e{iEWXFTz07xK{ZlY(Qv529TXX|4j#)gQK!*(^#zcdd&E?Guyv0`zaw!>}OzD+PD zzYVg5)i~GgvXvDKRGGzGMaJH)lc|rL1gFYgMW%?04w34v4w*`~$+mo? z>M@ijNwslZA=TX2l`4V}Wk~ZZYp`Om4IBaIM#upw;4!bh~U4Bhlr4(rv_h%53D60U1WK4+}(Vz|HMq!6NDv zifIni-9#@R2SS9LCMnXuc_K8*uX5pch+{`s#4@^l4@1!_B!J(eGg?hmuPjPIPD?e2j0Kl0RGL9C!j? zy8>c?Vcys1lERz*ix$(n0$Yzox0MOOWOufMQ^9wA_GOMQFA7nwG#fyRCTHO!l#~*G z|Hrxh-Z`K<*?{k<>z(xsOUbJgimI5)@VR22z672~=1#q=IFY)U=}Z9MZVxS*R~AqQ zD>-zn`G?&ErP&y7e`-BXd04iwp#DJ@^CSD|zQ#Iaw#*)6Eba`G)}=P@mW~m+c&iYi zP2(n%XIWgl#i{L{&Y1deWj+Y$PWX|#XgVAp6l^KI<6+sb6XiDWqA4on2gR**x?oi1 zBw)pXR~Ij8jGK^Y5jo)8GVHLHPbT9xeZva0o78(bS)JQ#vm7pTrN@;U+xeOR9~h)>7CbM{c&WEnv+RSzgCGO#l#^S|Fn|>@ zRSl5Z*6rtv&NRh+C8x#d zgF|;2Ixq#kBwE3zfOLt_#`}bQHSENjBek@ST1l)tdMscHy=)J#x!)NGNi0)wUkWjw zfqnpg^@j%vQUG!r5v1J_D|xmQ-`XP#sI`inu&Y13Lup(jNdwJ}Ci68%2@26>wt>t! z7bgiEYbY}Ys5au^2cd!9-m~`$hWO4aHhAO|Cf0c_5y!?4-^S7*;l|t&=vhDwebQp{ z(sBba{6+^}fDq|?;xnZ6`03Ul#b&bEQ6k3%b_Y~(;^36*M=}$*kVC{9!m^#v8i2-` zQ@*b|bY5eEY25`P|0@?62Sp%p;Z?m3$)-a;2)rYB)9Nwl4tCAOw2UAuPgq$bCJ8(P&~GjUFJ9KsiS8J@=Wed${nr^OCw?D>cTJR=q4v86JHa zFErfYSH}bQbVKhO$AdD+V^RI(_7Tm^5T8J;Qv(hBl3t zI9L=gOST1bODJ}B+1U)KrppE>BwIwge~1rU{ZyH4^Dn($E>r1I>np`OoWy7&LJl`3 zRD8{bt|R<>6g$hRK|4Glw;}cy$B5bPSjU@(AZ=7@#(A-%@R9GV0Wi&@uEYz4Wn#Cw~4I+Rft{?p-xi6nDl-@yI2@imp`6(;^J2|P zVn6Kd;$-uMzFW(kfg0bfd!Tb@c-~EwN3Z343)TGc%HRr4jvJV!&+@J^nkUadypgU9 z$+R}JBCu?PSBj9ABd1(CM+DQ#yKODueoS=;p-M>D;jGV<+L?dP4!>fSIvx`-<6DXP8t2S!?fb6QycfAXN%6}4vApZvo%U=HK&iKT&>q(*?1kT41f=);U>sXVn|2 zOqu%Hw&LG$x}n@UN-RN|EQw~r)&~p#NdPsI9YO^8UbRNnm|LRFfibQco>4=XB&`=( zlIo1+K2IJRSy28oZL6oj^_`n*v*(|Ei?U&~Ql0`m8W<02@6)9JiuhWyAt?;;-O%~J=opRiqiK3Jyf}^1Iu}pg=V&3YCG6Z- zjgpEzR(~rl6;CS&5$kSv3CV~K+XN#sXgNt~?vyB*_G)o&q^;BF$@WsQyUXupA-97q z>1;#!_c_>hm#&w@j40`5nKfi|EFG2HfICBr<`j_!$FYasXr0EAz$X}I@QQQ;@j>M= zZs3Y{$_qtYLtNB=%&OD||NJG4-5<*}rWIk_y;%6$zZg49p8-A}gTkWRez!tVPf*k- z&F`n(V3+Ru=N!~Wm}A9yhCo8@b`eQ3;`kZ|F2T&F{9azJo&KP6!CbQ3$kxIk_g4JA zpVbO-%ZRTTSDE8xs~xs14|-4Ut8mXsfc|3!q1x~iBm-9Uf|-}dal-~8_TU1`V%2Kl z(P_nf(8!ZoL}Op8urpWb-Gg11GxsIsR_nFhScnd)zj*e9CtX2HqMzib&WJ?yI6YZY37Kj~f7k8u0ZiS{pMGTqW%@C`EN z4iRZ5kDFG0rgSoMVwFnI@ z;v!2!e*~317<;hj_LPMG^Uw71J6}T&@)d56R*{S==~a!Z=Jcm5-f^VwoBtLhHuq3? z?~Td7o&EC|Atd&h{?D7e&nZ$-S<)5ScsKJl>#fEhBz`F3upmx7g)My`a1N4$%O92? z3P49H1NHVISfD+KH?%U{8gf*ok$7Q=IB2M5N8eM6#IK6=#TSjP!AKwzKwxZ6Y z^Z4ntTrK+ORxCQLLiDO~F8DxDG>5)nW4uU;Yo%;dewlNG*8x38I{~+Id_UeUJ;#_1 zi~Mt$I{f=019(Z*Bb`Bfq!yIlZJa4KoJ;yvNha7KLLa{bZ4Cow{K^9W{}Ly4CTf-1 zbaK^S052>|1Ye}Il^iv~_|!WLtw*&;@d3py1N(RIkBGhv^jBAlKJex1MgVAdlHkFS z>5LLH6^=VQ9CEq~OS5rKWz46xZ7oNo%NV;y_t8{!(A<=26n#Kg@5q|?l*E8GN>I<#|zz-7os1QugesH$JN zO9AsuS06x7CvSndbJSz7hIN%u@lNbvqa*@X7jjsXX}ThIweh1L;GI+e2n?fA{lz~a zJVPo-LaM=&z<=Zt`gFW%B${W)aFH%Qg-mlW7Dv(W(BN#kqtL2~X9)hRdh#p80=kD} zsk{R5wxXQoXxp%&D7sV?hDqD6#pk(~QEdlAOxcAEmw~CjBSx!VtK@{=Iw4)#Q-5^K zrMjRaSCWZ=QKWAMC7xYMimn7k3$J+O@)%7O_X6n+DB_{fTYwa?5Y&UTy3X=Zn0aKH6alTl z*Qzs;Tb+rBc~!ibL>&Netq}as!%x=Q`jO$nf2X?((*;6l@S=iUmPNU+ywox-qRa<^w^qKKB9^VQUIv(q$Md|b-q$gDXv zRqK$eShBwDd z!f7G2m>pDgJU^eY16c61jreNmZia)HR>LAQj!!a3x=2ED9U9#`2NY4{6#aYA!ZjV` z$jJ|{10T_s%RIL^xUWLLXCb($l}xkq3-F3&f~Qnu_?+gXGj5Vqa<-~PLiYhp=A4H| zW^D;dN_p1*i!?M9%=}`9=0Z-JSrsiQb6PXggkgf0Wgn&^;JPbb@Bc)3WcXs zat7~*`5gvpq(5VMvkgs!c!!qQ4Hob{Ty%*JLW^<}s_U|= zEtqzy&2u(Ke%oR0Q2{M>K!DEZN3cS_$5a!>j*KcJl7dVFUn7o{e1$`MGCy;$vt~&s zKa=wmtovagUFIoMZDfnZb`8j-ar=<^wdVDp6w_MyT%27`Q+060tZIx%8P#bzMhY11 zGI;X%RGQJlzm`A6XfY)$h0oAlfpMo&X+*!&I`KyVzT^bBtyTiPwR$UhlBLG*X)*Z# zgpEBdWSIlyn1!#vBG>uX*Gs#$i!FqUUtH2Wzbcu^@BVz{+JZmo|bzG_Z?9qASva%2nd=c-e7>-421E%fHEsxAD!zbYm?D4aUB!XUX3;64S4Uq^vIE zDq0z7=$+TSjXvOf+YKiWDLad2V8*Vc2W_f_E}h*_aK#XM%q9S6Xpel_(=gii7vpgY z@fAY$tkV-GdOO<&(>5sgp5Z(j>!T__C{CpwZdF53bC@dVF{lA6S1$oa4c8TnUq0`& z=V&%=UPIOSkOlr>=hcZ7@OW$E{{J8z0?FWM^1-ZfcO?E0Op*VQqX z39dBbH2-2n)7iV$O@$xrr59PZiQQh}U*iSbf^LmXv|>k@=0E;RX%Z=ZE%58B>U;$@ zp%MUZ@yfsa&RNH+P%j)vzB6Y@g^v)|ELGQJy@f?9CMEU;fw9CcPJ^4X|xRw zkGfu{kiTFq`HuDLxNfoO9&bnZw}6{Sifyg)IM%z{$(*CnX%l_-$e9tV^!Y|KVCGsclK&&fBr(eZWoedhTDSIro+r5Nqts!b?eDeJXxya>F&Ai_Vr$J zH(PRo{*`5RU5_(ctIo)uxvSoW)^Y5|?dp6?zUT~4N@*L(u`gnb>k~|CXxn)kMcwl`SKwL_dk?>?O=psqf znRx7W0Q0>iuJRP*i26yM#1AwqW+J;7#%9dB7G{)HvWu``bc3FsGS!Yj|KQ*(a!KH+ zmTT~N3b-a&5lHf+=wHqXN)zw0IBDMz9~!_=f<2ATb4zaa8lATr;B3~9lV5;WG)s}ryIi2VCX)O zInZL$FW$i9?|^1Amkc*>58SU}qNkE1k0YitKU6M;-$yg%wvCho#37V&H*vcg))On! z%76peaMfiC<+lhOdSeyb7edn~a~A$mK+kosGcx{LCBUajvtWCMsu$<-ImF|6>|P_d4s{YbTu zm3Ued6vt;Priqo9qUed+7 z6R(k8RDP8+7vXdC_vOPLN27_Dp_cw2bRq9j*2LFe-QSBXcX~LJ8Zzg$A8F;eoqxH_ zPtrHp*!lM-CgdX+cVM0F|4#J$|N8fNNG}a1GcKfZ z0t);~fI4e-@DU9=K*7!5y*=kq9zs(__}3))YHnFAKNsgSRHW|dTbhslbJ~9U+LBLs zUGj$p9-F*^g8eMY?45tqOAXGRb^L%XUM2JWNs@S!Ch!PVEqKxwF0A(-CAc6G+5WOA zf~tD*BJ%>r(^nBj*~WKo#Ukm{ zYq&QY$5WnDsY)~fi2+8tkkiO9{En(r8h&S&a2mrZP$4ZrwmR>Jr~Zc_+mQ}4Njx5S z`X-N6ZmY#sauQn20fUS8Q8P0inBIG^0<8%NEZc%j%cngLnY$(Yh1}$^@17P5+ieyN z54^qZtE#&>eJbu4a|-@g`5L`#^V9K>&(sB>#^TurPi$dnZ7Tf7ae-coEBXCwc;DM# zyfv13Y*b?Jzgm}PRB71nlNA18v}emRvx0d^|ID;Ld@Wa|ok*yJ*gn!k zYn#v`aqg$4R`aAsnC}Y@Ihxxtd-#`vVEva87Oac9ad+B|wiC)S-8O;Py`POi zcqUubK|3!uac4}9gAK97gQe;;mn#W1Ervy;{+vz2lr>Z1Vv`gYumvI?gPVOg^^d}0 z3;CrgvjW*lyTOlve-v&k%cREk)2?!`{ZLC4B1839l;mWhcc~ausobcGIqt9mvIN$H-GtF$g3EqtHmE3I>rXZkz!C^-k=L|= znqh}LzEWSds|7Ta-lBypv47KEu_T(GyfQ$6$`M&9_0SD!+E=Efd)gdcvA?+0|5r$q zlheQ&sVkW-Pvr2QbDpF(f|2+9cIIkkD=0PwYsImGk%oYHRHZx|>#L)cmQ{_+!jWAc zW%D04`kWA3@!54e?L)Y#E5uxHZ1mKwY<6(tgO?e)sr6C*m{Y!oprpKP7G3|x5EEYZ z*aEcL66rTZpOA@#>5~zJT%F1gISc@P60Z;$bjCz`nk}g$@Nj4nd)0#@vaL%3N1Lz) z;uxP)?X))JfS8r|E%Ild3wV8vsN&0cuoqNj>d==@^pc=_bgC-lVG)cKg<92`HWLlm zqHf-^VqS+-vK0a)Zy9fr{|&|TkbccTEf0(Tp?~2PW+gbux_6p6NB`;-fm6m-nei7c zUy?D->HOB^yC8Y)+Y3YI-po;YMUrU;5Gu!yck!^@1s!Ue{OY`k6?PJO^_mnnR(wwE z8}wP=Rps0lFcLxtm9tyG?a~9zOuna4*6T7TX=I`J!A8!!K8MUzuO|YDsf-!m4vq+R zFcyV;7*bEW>>0=CIz7>4<|<6&puv&YmJfKHeywD&zvXCl%sb~~H-1R9-?_J) z^eFyFO~r*bn|0DBm^s*JjsB;X=1WRDEx%%4uDrVL_qITDbIB#^2dfk)TsN7n88M?s zIuA~!dI{&u9P~Iu2jmWZ&0~JBXT?++ednn^LQZnK`UE>U;>@7OvXi(^7Cj3g`FJYh ze-SGp=Q!v-zza*V1zyJe%xO?LT$RvwEaL{4z2c~F_;)7St2nrM?x5}+u&62xNnlb4 zt`P#mXEyw5*)G6&(oImK&HRW${$V$70L2Qai$JOzN6f;5Q1PDnG$XyXNz$l~SrTJU zu<5nSXARagRqSpY%OzAprL*Z9B@u8mAEJY*k8hJ&LnswXJVA?A55r!78pKwgm}1H4Cbl%mNJS4YCI^7k?<>MYsIOG%cZ!!Dm^W zVIC@6w&80~m%afS1>19sPTucOZf=*Xi zEv?H=@*F|~m;0vDPt(X0sHpeUyED_pTHC~K5e&DyCed6=t(sjvmV86vv`HHWTq`7y-EWb}Rd6`;**Mo7>JgV)K(1PiE& z!gQp&#SMv247O#2^Vx}RSLk`wSMdcDJs;W}ON#J9hPRl(peV?#DbRn$%@%K*2hSjq zSsP=!??NCb(n%fnn}0v7=LlAGX=llWg{!P({A=GHZi7|Z20rPhxGJ5PF;_Y>xAQqn z%izLR-1&33XCZZAWK_WFzw22QRo^&zU|fFTk3u1IDM^iN!+=Ry&I**D;W-Ophy8xB z5_w9{PM~yZ2{sRUBDfz^z+Ges8xOoKbHlvj98L)0fCv#F=*dk$y?>8Y7>i1?0R+u% zAne7IM44NVkkc8!C$1gkQ}khyjV*!`1MBDN<>wPq@1FuXI=6$ig;REIYR^TdBSdp5o${ zf8ezbs(#sdq6l_I%0f71$ie)# zVcT78F$&uQOL;^g92-J+`zSQ9G4lE$t~~utd-kRKE{4ecqhQFN{j= zJ~5Hsdp}H8G5z|eF37aIt32QS?NdqG-t z00=+|>Rjg1Is2M9zU+v~Rqbt%6{5<3@=?nN>(A83VxFUc!s%YuEH7)WGxFQwth3f} z0@$Z9Ok5l0g8hZs~^DE0u57x-`&g2h~uR~Pk2 zEYK#3{Rh^8ttb02zN^>; zMhstidR5bF9T`waeijPO^DH<(VLRvnl_bZ?pc7vq=rP{-ti`rMS1`t^XX)Z(FfUw& z<;GI27)Jr<@^jjUr6h~ddfrs()LxtiBO-#(`*HK$bKN>loRML3CB-TC^zDs;!`?9M z3A~3+NPXxrbEl9m(TXXJ92Qc~2Pzj@Kf%*q8nDjh05L6Q%mDKjd0j$acD9kWvF=V|^j0Jlh^)p)vm)pY}t zn8wx8&wZ;&rYf(-OxWjq7!Ow5>RY?wrJ3G)zG{vNbuIG~Wd=j>4#TBfev>)9A4b6?tXt$#?+0;&4j*!`Lur|0Jf81s z6*<99b_fAmK`I#!&cQQW@seO{q%pf%qcIrvUs8J*B)d7MIY~4_&QbET@0?Of%or<{ zM?rm&F5UGwElk|VAFoBCp;uT^Ft{G86*=F#CSY}ug(*c3!7F1TB}3fTz*KE3UxRf6 zUIA#&32p>UIhd;<6m+3mqO&z_Wen42H4!3Tv~2lMm&rnjc8 zy1n(zx>s7`fekvdXMBhgCGT55W2)!k`L9-38%FBy_;SAbO;F>Z52R5`7!e!f<>(o! zD9)V86pdJPI_krYMT+{O98|-kMpjW{Bk>n#Y7lg;U(uLAXfi*86Q>0z#Ap!CJNPhkgsw$?N2-1>(0N%Jfh=5sTZPMtokRi&G;R$*AB3nfo3a}On)jBmS1q=X>qa`Y!BYsPj^%!x1L*m z?raZ}V}``vCV%nR1S94J@p%FnD!EU*NA)TE+-j@N(FPt6cyyLiqA4W>3DmhuD+JC~ ze6vB*yTpfHU5rs_g+2U1OsCF=E&6d@JV&WGz(BU|2_Qg;kAf-jG&Mk>mIn$E#dmz3 z{*SJL`f(n1wp}*cTM%*y@utLGY>Yrpa+2M^l)+ZI{WF~d2GOq>+QK1k(ax8kujXN{ z&Z>13!^hw7K|KQ_G0wg@iu5c}}2IWf1?-(Sn> z>9^lldnR{p@so0oprE@kS3`sPz`^#+N|9NHRqQ`IUR~Kx7=bQ?-KCi{h;A%tp3Fsc z(LF@G0~6?3jE(Y#o?njKGRTbgJV|}B2?Ki*rm7Cx8 zk-X4Oxgp?@`z4cn=yN zdd%K&fZNB-S72^Is~McH>ace}rFIp*ASc$J%YI2XKkJet3 zs{9Mboy2ng|N7B*bC$H~_lrJY=l2cJSd^z%x4=H&fD3M3JYst71zK}ua%nnfn!PFO zKu#g%JrwZJ__cv@Qrx?rYl{=UZ6>%kX4L&<%EFMx}g%G6@`V;3@nzKHgBm~We~rYcm6VMdP2L^N<;*H6D( zROt=9!!_-7tvC&o)X<$0EWD-+2|_P-@3q@+_o6h_u3&Rc|A%*L8gn}~o4(_AJ9pV928`tY7*T!zV+ePgV%1AeZNiC!|ZCTqA98+1xCKKnN)4YYCOC^wp$$B zm_jV_Makt#0*} z%o_#ymaK$D3jf8A(j<9i{JxL7qfDbwBK6y_CNVXSq0Oq9IJEyl!2KWXH^&n|$4jfJ z)M;s171gwyt&PWSEJ~W6cA#!_YrIL6rPNq=qVwOts|oBIN|z1Tvt?Qak_XuEtq>pW z_T*yTWg7X{exg0Lb`pR~05d5=++h7B#@30GcSy0usRzW=bhS*g=jl5Vdaajc5vKSN zSaSqNJD8=LiKqW2(%e@4&x`n9{D;&_}C6N?Znu zq|iM%f$|?+AzFCFeO2tvaYCyg5|ngdJcZ3-F6dOz%NM%%SZoBx=S#bv%*-lzY5AN? zi`d!_*dN3O*sk|QQp{TY%QxqQKa}*UoF6jSpg<%nD&Vs4i%=ZD+o=oOa_JPmIh3EF z?4svNNnf0GD?t1X!w!>nbyqBX(GbNSvsX8VeQkyy#+(b(zUk#*oDm3S6}NQ zvv?ZUe%9y3-}h6MPj0?;TWk7=LMmZY0q=Fud=>c4Gld_^W0$G2mfD635$O8D3r9B|1F9{XdoSACuQe;rPbVWN0KX^LS@a+2W#@TWJ zX+q(!@5RbupNo{q{kdjaK<=~6k?q^%07QDf?0ztE%d}z!q;Tx>PB^8y5Vs(uZVLYAu=sE-T+#?9yx~K``MVw zt4Barjp$we>;nwW2b{v(hQk+XAp|4eOgOvCE`q6om$|kROL1P^e%Ki?){6jtn2Fof z80l3se|?!YtG)2hVUvp|@`rv&ene0{UDFm9)5=B$=dUpCBD#4`jn@ZjStcGNT2SRf zTSK(2lVzsP-x%FzHMeD}*EaA|_rXZ!XCatNQ{Smas6VUYy~r;odbk_uisCcg$*+Ie zn!a#V`C$;$$5quXWyFjAnsYMt!YQSp?dHOR2qb4>F4ONx9RP<;AKWvyBJKwQz%ZX`Ik1c)zb_~c7kJp9XQh%bM9rb!f_e$YPGH`%O zj#ud0*bh9l$y`jk1<7^a?Ka=`nc+h$NEqI%Q?xN?6{-7gw?=R7f`v*PCE%L; zH*N`=s~K-%34?J7tf$$0`4v=b0;1Z{UF#@byJ!^X!c`$hXQCoI_zHg*x((YIIwGL% zYI{F>G~_|dP>1x_u!C58tZhMeti--~tU%YjF<6kVyobi34G0vuYu-+?BP%)FH zYm#YF^6bX(m@eM~_o6>|JGOXtV%WZ zke|iaO!FN@VS&dqarmR+n4Ect=th2q zK`z(Xi*WLXm@PL*MDqiww>PVgw(hkwoTz^J6!n9tbWRXELvj^2A+MK@%r5L(J@DB` z$k7JJ6dFW4b*vEd4Z(z~99i6ko^grPkG(8gBXwe25Zi&mhJKnInKs%#~8Yov~waG`A?XQWR1fZx^8hRkKp+F)mxsqpEsu>$eWqJr3A zgnx(q#5CrP--!_EIig{Idb{bd!qN))ede@{c|Uf?l&a2lZ4%xwfq{*s;zxzE(gI;@ z2ETan{!VUqi7gplOqOYJ99y@Pg-aRCdUyZJ2Tu}RmGXy7rT&{6%in4nOV3v>m#(pR z^g3pR*8Jm&Jx`{SKHIqU0hEF5Bjct$#SheBd!8sEm1^cxa65UDI(eqWrG&9PZlxcu zAc7O2kIH-;f2KLIDl9uNdLo$*OBq!>nCXU{0vlR5 zntv@t6Qf?-_&-e6;|N=3QP2kS<@d=&B1~7N*-czMC{;#<$C>YFtwLK0CIKUWGPy~S z1o((`ujDs51$Cztu1=1nlRWD`cPWGa{bQMjANtft^MC`E2#c=9^R z?O$$v55Zs$<)`H>Rw8EXflm>8Q+m9TVYz>bdNV!DzBbA%8s^Vd{O7(`gW-^n_OFX* zpS%dAe_aGeCcdxqjfSt%-Bk*uMdW6py{)Cu`{IipR@I0~?*W?EYxYh^XJ3r@*0=?J zp8v{Po?dq@PiObsyPN0zBoE%Dk9M~&mxrEVy*|mML~cy){>);;=Wp|I3^;FZi@wew5fP$UKZ}V4Ks_A9TlRbj)ETY z;j066*)^}#lb@A*v>LWtP#97scl?*P-aqgSdl>sLN(^Cg3a~y}hqPwGCDCTk3RTL*Z~Q_pq{BE&b>UA7k2%4Nye5>`3n-h3O(@4rF%L(4@WQ` z?4o666Eh~)&(iQ-Z`tfTiSnCQyU4PYA%i3S`tu_V!`Z?!FN;3t9=LnvX!bhAoc|8Z zO}32KPjrceY`ALZ87+jj&P?yTq2aYTtE$c3Ho7{}TpbJhY5(w4QGO0um|Kq|hOiaO z)y1gmr^>}XY|R3yx=&jd7g7fa&1RXiDBONrRDZ1t*R5yTV1BGigc;qK(6Z_@ko=9U zVC=;>NhLHTGKFFO5)Ta!g%yC4Lp4|A91OPvChMiL>m6wIb`GDNwR`UiWQZlTuZ{Z5 zxguV9+~mjkYWBbdp87X)Q2Aa*}ZZ|MAU zMz}8}!iE!zlBaKlZ7vr#c`YAs@hqVHP{C&c_0~~%D4|Ypa z&E=5@A1QSi{M3N*aF%RsMbP`uMlMZ*<6D5wcWVgH=%5#YOm-u@(3f$J(A@rUnz;;#C<=aka`;6u)t0&_Nlq+1gLQqbxO%Z(_X*ZzCx%vA!cs@WO{L?SO-(S1WaD-Rf z$kWH}ubxg?za3#Ak1SEQb1n3?RMXW-_1(~W;LwtyZm!{#HAV3YSSkyMN8M;1EF`cN z{-PMR-D;Ob2XF>*uTkc_BHnu+F z-SU0T6Pw%MYn6YcZYOQk?EZeFyLdP0XMRp_#EVxp9lcwJ{$6bTS_&ZE=nQbsMB#k) z`IJp?XUQ4R=DcHSy1Rdke*s6PAYr0_D~N4j@rgRz6UBw=P@Yk7UT5X2@!b$P(j>px zyx{5Y8^&`~ef77^-W8p)C_ABd`cU)KXJPQxS~5aWjE~^DvNi0-WZD@~E)upjPja#G zaRC7@#7CPw{-~&Hg!l^4+S>fn+D>pc|x z0Q|>79l#OzlQ=1J(bHB34FRXqOTv<~nTqtXMy9#=goJ&^hdQIO2t>7}xN5y8HKVTV zoV!nH<(wPkdqRECbEU+z8^L}%fB5pg?|)7){G;$HLx@PHa)``t*!phgzj(9odsBWX|Kv|h>ob&;NY&74JqP3% z_#a92;Fc|YbpF*?IH^{mJHZ9NMv70@p%=073|bDPDO_Bcx|SDf*=!18x4GxIg5eC` zH5Ef6b@|Nht*!ZHH-}YMOtjMg0>TcGe`_H8Oq%4n3%)RfD5l2m@7~`%JnF;&Ae_8n z#iwsDU@oB5i~ZEU-3pYFAXM47$SKh`*=Z~6gb&Lz7L#{+gAS@>{xkzx@d@d{qd;qet%zev zU#-z`6$OkH|MuId^f$25HvePRVJe-L8^sZgRPja_i=hAw-A!LXP|H=v@I5jPKhq{` z8}o43&p!(6v2!zb_JO7p?o^WgKg&NeLE62uTlJl$>B`q3(&aM6(&Ff2t>q_tg99Jo z7b$90!TT;T>_ns&MrK>vR2bF|c}hmv{EWPh`S)NigYy5%)Ki6xbtDR>zP6=x+mm+2 z0Hn5ZQAOK^QVkl-_VbHhy~fzz{%`GFTU1lm7Nvj!Vu%z93Id7(r8Ux)SFJHo ziz3AsMMWh%N_lF;ctvUyxMTG4bwB*eN0PDj-gC{l_G7I@jipEVvI40uR07S9Yy@()Nbnd_dCv|bTQ9y13j{DC2mjBsjvIY_@RfMQW#&25C9@pF>Jq)Z;YTbHiQL7xoflDLnecSF$P4DQ1!} zQk($>>a8=>hM0kT^`)1?RofWl?Sq(an~)Y+4WbOapnUZB1bEybLKeqdc+mjFR96k= zHd^AnJKHN}HU~Vwzgx1Wtf@ER%xN#U@hid&xHQdTZBAYUYHN{t@~q#p*s$y12OxZ? zcSOm*t~wZ@(g#%FvLa-qFF$3nX?&ZSz5j5~$`m){meNB(m~rIu{WHq58?2qO=%=)G z^Xo}&Gkjv__3}9N0&tJTC>09SdYHiz3Jjr#nU=tqWE&Bngw?0{d#;Yk0t0H|0->{6 z-KB(A7}d2WM*$Y$44|v;GK`{fWo6)4^y1pQ?>fRd%)EoTS>wCg!`q!uSBJDX{ytlQ zQDGK-&eIT~H6bQakvbj;v(mycM@`$fzXkjuy9RcY$J@r0OUa>}uJF#@Hpc>WsG3u! zUDEH{il57CSZ{R1!Q%)LeTQ`DzR^a6+Vs(h!7AJcMw&)y z;B1T!bAV~}#K=J?F_44r`&E2@lvf=fextPzi)RxEu@g9z8Ti82R~e%UNS-AKzT&v~ z_gI8=3xA}{UtiIbKD%XK;i}BwevT3I?!E9Tbt}xtB;MkL)Vke>w^b(!9-pkP*zCu= zIR2&j=&KSkH8VEDld8mn=8emCUDg}7K-lgtO1N?Jn{{)Q2(9s%RiWVzbvW^YnBAM=Q~;}8tublAx`9q91FIh}S6JhhiW>q6l{wPgMU_YU zJnYQZ^Hr`8FcfrYQ_0@Z3eWl-?YzQ z#b+8(0>YoTX@~!s`LZ$)re(H~@lBV`i$JQ4%B7LL<;SSr?pGbYB=c>pQGGSAINh%1 zV2Giq6sNpflYT#hctQv~f&vN(#gshitb$Xcz{m7Oau&@X9kRO}sd zKo>+Rk3sS|O6uu0kMnjglolW?{&_F#5Bx6s=rt(eg0sbVr?cXs*1i-;TlWjR*4g8}UC0`_~+?h{S0TV$A0!)$%z+ELOpa!s|= zBe>>4p>lg&;>vg%Px~QiQmwzkL4tR;LlQCEE5jwNT(`;wrZAAj0lbdeJTYqW0y8L& z9Jk%+v=GuTtjQV>0DSB3+~km;`#_&8H&SlQ|E+48%kBx7+Jjjcf%%d)j&lSHL-~9y(}$|ETFGWs0dgd&=y4L;0S|0 z!e*mOmAU!ztUrx??E+cHeccu}54_9SWdWfJ3+!`;x5BCEOL(+(;OWp%VGR$Yv86&_ zJNA}RClX40L{#-r@?(x9G~S|B{GDpe;7E_^n`eOwQtn9`8ml9Y6?N1G^pa;&dU4yW z$U^Co@{Sn%$N97?6|J>DOcsGWL;4@>)p!l)2NT|C$&jsYy?2X->_tZ~!7Q>yvvOuJ z2E$5Z+wjXrq7>`%y=TSlTjH{&2 zV_A6r9nJE+CzwBUpv(Jcsg(r{p$_%Z72z2nWjEIOampr8lg;b%{5wS>2UNJH?vh_d zu_c`#Nsb(@AYmx7FUYu`$wm;0SwGVh@oXGxwtLi`?+FK%EHT$!;pAh;0{V)eFj)mo zcm;r-@8!Q0T-`TXklYDN1y~t7M|pZ4xLaksmD-&^j|lsfvXl1*p>woZJvj+7S>Hy7 z4UK+9x5a5tumEwW*H3?>Wa^ z;HLEO1$93kd=kg+1E~%mTfs!OSxk&595S!7M^>p;fVY)wd?x0O31u@ehoK-aJHgL0 zKsktzkeFg5x>06A(jLnF7-*>T0R~91_f__jXL3Omv*o=xBH`lBx+REvuNA1$I>;!l zoeDDQd#d2bcj8J`)QLRf!7bi3^S4)QqYlF7#ZMTw}{$L1kgROrx|DbwHnB;$_DJ-n4@>IU4gi zVZtAj^5O9xb&3P+Vg4_@_j!a+@8Fy%x}Z*@2QjMlqeB0R(CG~ab(~@db2&yraoB{AgX!hWm(m`V zB9Lfu$R?(xk8DWmX^Dyfb)dVwBJJr&zj(iC!Q7~(;}!#6ZS;y<(<+jQ^62{ZRddoX zW4t9pD^g6DH@&eyv!>W#tsyyq4#bBqg62U_Jl%80hcTNMShZeH^z>F^EoEB6u@Sxv zB>rZ~lwHB5mM8pbfOx=K-2ZcYMFD(?{=!$F249tb;j5<>IM%7+caD{&ajf+GJMm^! zHEhXnqtyI*R_l7PvY9*NNc`d<%hdI^>P>qkx|S^+)! zubaNY{QI%s=oSxxvH+dYo3#;gdhE>-E+{1v>qyXTf#$PE6SEs|H-HK@e?$2(OPD(- zjQ?UMJJQw|5-#kEdW^GpQfLpDq69ES^`A_E0;ZTno&6uCa0N_3;}{_I#XHX)TLVsg z{}ESQ8W@xIKn)lqUa8_T<{^I4Q98ZUgc*3xdzEuglYPzE3vk08;zsdT8?pl~loj2C zIq4SRZ2hDl_!#pcQ)lhX$mZz{2iZJ@v@T5v376GYRRw{AW6rBq((v@w$sj1iD0xu5A74E%y#@Jzu@4ygfUyre_7An?1CLEtE4hPJZ?!V?T~sa6o~o5q ze}=E5Im)V&|FeugPm3Ksyn0})eO<>$!B|Iw46x%Lv`CTq`H6 z;Ld=9_PW#B0#{-fxbTZeB(dGGON{Pk$J@UA<$MuyI3i-EDrO*nvq1o59B=WpH1

5(0FBfshXH$G7FDgXcg literal 0 HcmV?d00001 diff --git a/electronics/converters/constant-current-buck.png b/electronics/converters/constant-current-buck.png new file mode 100644 index 0000000000000000000000000000000000000000..af2c1429fb6df447e3b82e4636c856ce614583ce GIT binary patch literal 23350 zcma%iWmHvBw>BIPazL6Rjg*vhcb9ZG(%ncm2c!igrMp8~q%n{N=?+o4yW#GG?;ZEg z`;GDaz~SC|?X~AxYsNF5IZvdDk_6jEYHKSC9uqa{#1RXuAL-w#T`qt$o9 zMh{zo7RQTe%R&Q#%@^kEdl2#RqTp zG_l^lPaFzKSsS-wvwS|a4Lp3>>+|QOvf9!K4bKSNK+bGfrGMK%j$j!L{^|0kO<}0^ z&!CoTom#OZH;Bs>iR8s9+Koon6KI6QQqDkqFq!=LI<)8@HY+yXMt7th}b*&t5;ZcAJe4|6@wKQNHSpJy={S2H+S zkXx`5())Q#~B$SjulJ`hPnDpk-m8tEk zgcYycIObUf1E>Qm{*<>JmPTA*S8MY~zlcbvMv43!okntE?eN^ns`A6mxABd$LxyRK zpS56a@wbA^9U{LIN<|ry>5Ag(QqMZxrkm!y4am0{dv87fmD?WVEODED--SL(jA^dr zP(E*(rP<*A7gz86JTu$i!XY$gX(=y5W?+P#w;s21z|QkFd$qkO>UEC5e)M9F`^x6m z1I$02sR2KJThDy_W_Hzc0mV;VxGn#^bB$Y7FP690Qne;d&3ayl;-9?ms6Oo| zov&+M7xRmgQl9?4AQSz<{jTZqXpZ)$@|@2|Kxb9lq{skQ+KCOd}%aPK?23up=!&1f}iUrkEh(;kb| zK0FNH1m5nd=b*GOBN$zRB%z34E<~sxQcd}jTr7mtrwF^$K@$9XXXm$~v0kUuqGV`Y z5IR;;%daxV$ipj7-E=S*U{6p!py__VM9zaUi{iR{ClBkPOGRIgtYza%iAy%)sS(S> z9!6?<+VosIYUjt!O4gB|A9hEiDESxMF_g)7+WepR)A-R_%oiJ5k|q63IcxPsbd>Wi z42%jzc6M-?c6izPA^g2^hNS8sy|N<15cmD} zo`sp)->0jDV}WCg@0on8rc~mF`ai$VAQkSJ@1L`^cifS|-|!dc{Gn%pYhmYLWqC2y zVfIxjoV|y$q%@Rb7;l&=n*Yr!;ibm ziAx!qs_&8BQ{-?j`v;f?`UIKfDy@u&oeeMq$A#TSH@isTFz`-9lj8i;X|{Y$CCDG# zsE6Gw@XBA&`}8i=h)=5)ae;A3`2LFb39NvX?cOdvo7X^%hC{bg@m`W%!7rOpmb#FB zyocM(D#bympM7@jrmrzGYGFZ{T7doJ4mHa|^_(|g{jhl}AR{C;{4O@4^LE$Es85-e zq<>~@_IXt@&+w;mVZZ%;se<&~IVN|{>i66Y26m3$xX5hFTDhF9zU-NYukK&_X0&`f zg9`fGU3xfaKKvz9&ptv{Mjs96BbvQ`JF?SL@O1mcjPe2ZDre>)aQRNeki{kdSwT=W ztEVLF6|T29eKNB~uVki1%sR{Nk90mtPMBv+%5e3$4f?y0rvRfCI8lxk8_6K{g5Oa z3eJ;DP-ZF`-g;9qC=8&Cb$Ol(3g5l4$5D0uFeP1j8i+6A6^!OD*@gV-T`&#~3|ag` zz5qYbb0Sh?wNXL_B$NcYQ97A9%&YdMKLySAIcsFv#`zou!Rs5j){>A#Xse)b0}SH7NME`RpXdC#Ft2_ts+kl0j3 zDpsKwiwTHxD9*2ST@etT;llqA5z;dW5D>@_WF^HkfIyceQn@DUF@3|tU`axlpZp~C zBEK7(Qe5)%<>v?;N=b8UihLV#9qu|3bmCoh+#m}A%({0^`MyccAa2u$_F}&^pQ>=V zke=daO9{ymbK}`qI$7E}QMzkByIH)xdsuL2ui|&#o+wkEyzOWT==3~jz1oc)S#I;b zyFT0M`6zOK98UJIg2Dn3MF3$1by1-B{rOODIffO4047I(p&?4LJwd_+{)-49Nkqu^ z8g#dsdVKbQB8bj1*Eslbm>G^JG_HAnkO-Ip0n84@>PCYqJPvbK07a~_O=sy!z^4}t zLs1*1F;iDQ%sXN1!6o5pcg8YtV@M(l3H&m3 z@+*&6{fYnKl%hr$BnFb0Jf0LNRb%2yrA2}aN(Wg*)#q7~L+rDINy^opHU!knn zpXm#W2FRx=CWVjQ(e3wZVvsyeFKRZy9YaPAFWA=>1w$iLRE4GN=>SB|=G{a>m}ZXj zl|pOv@;6zRJ3GlRK$r4R3i&7U4c*Q0z7Av%%KMKi$Yswrx~eVg&&BhB$(^Af!xr;l zz4lTQ{4MkEDL$lP*cST{rZ!KE!+~?EK?RAmd>XNN*A}z+);~L1QWT2+bvPHURooIQ zyis)W!E}z6MhSFqJ-tFHr5qO7SEHhgl8?7CfU62IxiOCuW`1nM>QioNdT~InbUt+D zxnZjsIG3<-!e3$o&ygKl#Cg7YJ6o*A-7_jBS=)eI16NcXBt%sf7UK?sk%N3IXUHVh zEqP0C_{H}Y^-#EE(jc)~g?vWs+K9NeK}sYppXijK7q{xlPDky9yBQwp>xEx3ip(jo zbVy+r0q5Db`fv2~ znwCyFLjIRiR94}~2`a-7maXgmJv24~f2)$ZnQ6_jIE*ij%HY0Y{;Hc1tQtPRttnpA zKB&8@ue(s08EdB)vYz~N)O`J_PuAtd@OwZolIWo6Ct2RnQiAF{n9L1f<^8g(dV}QL zUlq&0su51}B==Ly@!dvCZzRm4c_>A3h+0Abd({CeV76hTsp{%*oyF5QaeB;k^F&G+ zE}0IW1)r)R@7Sd@6mX}N@LKaV>KjE{whx*LYnA?B%b7*Zgjz`qi2}-33rT&k*&xAX zH+b!3i%;5i(p?uIdm*Xbe2lvMJU!y>PjW&J))@Uh~ciX|jf`HbS&x%;UrfI3|gE89VfEiS< zjZyQIik$f z0ThHaL-wfM3fnt5H$H*tX41aYU9v9K32&xM^{p|lj5CNd8B=6s2JZ@2qHn2s8+9}@u!h$hyWo+*DFEq6S zG3TPCZtxk%j)I)*_q6g+H8sU?kZ2mhvcI0C#4|AYuehLTsg6IzWZIPOfN^*{mE(no zc0p1v(qBN>~snY6#YK`Bf!_$=e{&NQ8VH$`HV= z2^6{*a?;Mv7A-VS*)Y#FewNQ61i!TR{0~T80J$#*#V*jN?~K zkhXAj1ba}m56|T1yadaZQqmAnj|@y$tPJ3omeM)QAcNw-s_9qnmep?)$AN#gSZ*}} z(#z4uy2Xa;6+Vi2hyzN}C(e!zsZ8l=y8o+xE7U#t2_q5-SQ>)(a}d_1=*r7?p&wsh zHI6#^&h;sy{tmOXr3e2+gJA&shk=EHhzNneq$y4-6`t+HIualAx<1_^N}veO13s4b;}8g7QQ)>?Z>c1Vj_t*$TI(4W7+0qgps}q7sf1uW z>c^9OS)_STV_l4f0rLc2^JJt0)Lb1462;q%^#cmB6AlPP(mQFlkYr=T(}alTK7mDH zy%pW<`iPYXIDT3Qd1DC4djxT>8l>e7H|%czPYm_JU73&ir}9zsz}9wlnY(g%PeI>5 z6KjY^cQ#IQL{fjPQOX9#J8WO%16{1D3+9M>--F)ZR` z5@S9`H`8@@lZpOS(Is1pFS`nOjFQf$77tw%<(A)QI2-K8-U^YCwNa;ERMY+uk}zM^ zz+4r$He3p*6>Ry_-Aj!uSu6Pz2z$=YVP-F|WXPYf&)yAcpz6qRRY-&czavb3Dz{f4 zP@E^N|HYr5h?Eo#9ib@ZMbuu=%F$@48GKD(Of%hOV=|3)0&}*c;P5zQ(+~4DI&Wl zp*TgXP8F5iTKJ57Oz&-J34hC<+`syn-o-QGC}eO6pW(jlrpfrw?2VmJH&?|q-{feI z$?lUhO1hJVX}ea)3&9_T``c?=R>b;XGKu$PLR`hd6cN?7%}Yh2%gD0z3FNwFkZjtFGbtUQ2!mWHc5 zqnq)pWph{HQ#}AH+&?|j1%#BLT|tu8d97*aPKQrg==)3QS*d@>ZfKAiI^GU*=r3sb zlIxI#Qk0z`>Dv$lxRpV>HToH;DYuR9shd1nW31VR5}wM+;77MrI?Y*R;VDJ!7~t2z zv$Mk=3{gq`T=EkP-|rlpG*@Z79iOY zTr_txCr#`)ELN>}y$uI7(ytNVkK0iq$omFl&^4Di-|z`oPw~FN;E?I~Z&+p>?K2n2 z@^xp`8RfhM%Hi@r-7TR%7+4ln?&v@Cd=BKP3mYx$43CQ-5CiZDVhr;?e4-3!rtow! z`~-p6|9`;*0EGT{GQE=7_N0V98@gN5_VoB&Hyu zs!7y!Q2}d+|#~~fxU|Vr3g=P4&yNW zy^RSLDE^Nn`24yHtd{t(JewxOKU$s23P@rRR5Q`Jo`~ggAXa;H*nc;VnUVuUe2sFb zz-AHrZ+|fW{6|uMxO|=H)Yr)-wDkm7*3!+a?=j2X^gy=sPY~=o&dT}LWzj{;<+#!1 z)}`nvATO}P?m$H5wI`LlJvG|=Im?ESHp8iX}N2>{T+@+*$j)caGI zC+;?9Eh--`=`<;KG2{LZJH&?6AwC~!O zGh*=)A!gHK=p0q5VQj|j=%Ik6COGSVrdb(dfqZ1g!$P{QQJmEVtKmsZ!srO4k70q9!1*nIOA^({ZM(Nk%IpM!j9yEwYp7)YQl3Xto{ zNYQgo#rmH}_1s&i7lOXC_?bi!62?McUi#0Uq@*BxM6|Fb!K$yzBPaOznfs+G0t^`OIOh&h23|7~pq7BqraVNOg}5 zU;svLjHs&c{8JqowN-5fb9tPm{3y|4Lu;fFFFOF5lcdo+gd{&bm}tJv*hWQ3ay&e* zt9I@$1jD=`jO0=LY@@82W&MIf6p-w>wURlmB+YunM)`=uGc;-VZgmf7x9dmbYGyN9 z)*8dLspb{vS;VS}h1{Jc(#FOn9A}&7YaXnOOJED3_fKPOy5li+H`DN3ivxh)Z-ni01bn%6_{&4J8q^k+nA5k>>(V zMvEybxNj$4bs+m{Vtk%YHoWxL<(?JDh5rU?$s14Rmrev1+GzezHq5iJ?T2e;{};V8 z40T!wKS*UxSGC_E!;W$S8pR4q<=uJNNMk#r5B>19E9!5wsF`en?0+8A5=6{PkMWHb zr&N!(R6E-BQTY&lAjAFXHOj6cG{y_xMiLSOP-1|>%aGR~szSY=HHq#7Z(v5}ncYNL zu6@8Y5fBxGZMq(p7ppxy>tW0ie!{o6e^Dmwi}3w2Fm~6CVrn zAlx?ky>rF_F)zYb%%H+r@^aBxSU^o+1-D?$Y{;;;_zD8r5to6SJcIgywSX+b~-}F#YS1d+C zEjgPSheLoI9Oei-aHK;q|L09d${AQvFN?gj($%aOf!8nqS0s_=XrU$UJPY@+*5pkG@z#V`~JIguqdZ@TqTON6s9T^be_*CM2#OO6@BIHVe3m`rp zU=3$zkyt1Z_hoqfBQu|4uOkwdBbawm8FYaIdjfpriScheid?I&yOD<@58F9AopKj^ z84628%CL1y(v88CT0mAl6u0~R@n~)I){dE%{vR7O7be6qnECByq8(>bXGfWoQR!j* zq^hc4CjvE7#0Hp#1JtW*DPKN8gt)z|Ih18Hur4HG3@Fc|*BIiM0rHe}`cSz<&jJ$2 zgz`H(tse~9xBdHwR6rJG>;UG^fyy6r)PKa`&||4DOl{~B>=t7@KE~s+HL8z}(;Lf~ zGtVx2k|G9{q@QLC4`8Okd()zEo`9)D2p}G27@ty<2YsJmWSi>1I$4d+2ppW%YY(#i z_*!xOo;A74>8`J7cNrBs`SVn+Mk|<*lM(>eUG`uae5ux8ue^ko2j#D|k2%=vBxcLceINy3Y;@op>s@pO{ud=N6gY;{rm^|m!*Iga!8s^9O_2pUk zZ4+1L(ST^r{4GFrTD!XrG+~KUtH=Hluf3v_J&!c`6hCh z4U$)#t}H|3;{hdgct7i6mn@w`ar=q6*#yYfxy5o%WL-8h>$MXrYlYr^_MMt}fjfeO zs49Ge?7jWNI-6qEnDDzyF>d2YbsLC=@8 z%kEYri`%;SD_bO6x{D0`E?$+Sp&X;^z6dYcCKQj%^*mH|S}(XDBAeR+VxQIZjkde$ z57h%BEH`3_cJlMY>Rr_?nM8W6TB>e^<%>`3E`WE3*+JZd=?xQ6{dp8u<^wMs1|BMC zjdXQU@4@t%s$vd}#Ccy$udOiFtFym;s+!G7e1YpOi4m=POh8ei3fQPLzTMpjDZBuS=92v_{QD|YBN7ER3%G>(yrm7%$B1F?KiR5?{0-_7?@G+0TB5H@ zDPhbF$ZKDEA@bpoHgc`<3Ey&N%#YwF9q-<+?qUTzrv?HYALg5`dS6c?F-5M?a@1A(~#4@**GeolBo z>+}bC>#5{ydV-ECH0^ zWt8_{m#?4PH6>9NQ#M5C=n+M~@A$~{Q;@s{sF>A8`f7pde-=9nxXW3*9ga+g4)Iyr zRBBSI%i#bt#RnXno4s+V01K+_chp!PaP#znv1}*xB&zAlqceYwiOIjB%PuOWx5mN+ z7~h1hIjN)mtxn4>wM|B2X8EMjL}OFt_5aY`!D&Z&^AlD5!!qi0)HBKL_>zz5<((lHg! zeFkK4Z&-1_j{x*s6yO$KXdUso68QW~zi!nji4Dw5wkXEZK>>y_=t9Q+(aLK88#+*p zNC?C(nCNv?lqt&32{ja)>!%~K73o&J+#0lsK`S8;=NmUH=1d?c_n{PmXz=cc%H&Lr z8$J<``yp%bM>Cj?H*x^eX2EbU;D;#s;lodBnj$Uh-3Vf^An+jjsRf|L+F&ip59!iU zyWL`X5}%(xykQ#arwO6^WH0s_z(1QZU2fEyik;ZZGRJ&6e0u zRHYHw_2{A7$PjfIb-SHODk+nX9`9q?Q$QYUkdMO|zIyAhoS3>Clj$_`oPYdaeTbg$ z+24A9ZHtM>8Ah#S{T_3y?pRh7fXR0w|Iof${4=3@SL;KjU$aD%gt&P)hIB=7D20UL zrd{NgKpblVO6Od+?qc0=-oYF|UCn8|dnDEDBZH;~nAYC(K38Q7`U+$-j4~@BAIWA` z;Ep=E>Tplw_H%OJX|zZ}-JA5H%4JzQjKIUD`>uWtsN8ade%-^~!&MV?#qxtdC)rzu z*%0E%4)CQ^#y_gbKpsfTexPdBdPyl%h+%hAG)Ba0hXyTSobgB%RkHY=P-w;+{(AdR zC1KkjP@1edHT#b4-$o%*8{^O+OA1lJ3k3PWH1D)NJju_-4oxCdeE92D`jAvGYxP}^ zsMdVi)g;}pFWbkXA);_=z*LxEKX6ob*K~BMp>KD}Ujj+eMIu_N9BYOTu{wfZGw@(S zzMwDfdEw;g&t;azx<)0`T28q>!?6#PFQ@;v7oaV{f9x*`GeDYuMg$-LGsqA^%@IgB zA*l$d*HjnF(6p_kLB)c=mx=IhI{-4n5l8E^ytq9(a;hbgSalNkv9ne4i_i7}&VtYV zfhD_BWa4UD8=(U;=st?vENt6Am&7v@K~SS)g);61OBmDQcLjm4cM@D@BYJY*lXr{s zi>ZA*RJed!jbrgNlJP<{5};Xm(Wx)r`>KuQbSHGpeCs?0CQv)73FSTIDkl$QJ z7QgX{6C8E!uDQs0#r%1^1_C>@E0S=n@hzN<#lKjR3imX5c4H;_E?npBm%;M{3H@_~ z3DXe|KZ3*ChtOLVPd})|RX?SSzEacxiy)yJtuYzHd3?R}foL;6jB&fNb~?iUkGc8~ z5yPK!ys(T<#R|WpL?#Rg`7>;%bWq;T&`2KD$akJZhRchV?)x1xq#7c;C}((mD2__; zTc!OM-?TjuA(7&<>W1)r;0F4zh7dq9_z)#|N{KRquW8!dgytsgr+El}S9j{VuDuyA zviy8@M|HJh(oonc4|{X5FFm;L6RI*lVTku_QHet=FPFY zXH__`0J2*JRQe|j?}h5ZFVlDcHo?<8`5>c)v=o+6z%5bXuDt)!Jh@TGyO+@CBod}f zuPE>WcmT}4f(m%<=ZDPhQWz}Q`S40|R|^o5)vLnkO}Fm*G@jskB7rZX!3;WJwV*Bn zU@(C#{*ApJ_ID&94VGcja7H$^ZH57v^a)2KS9zu>4VHJYd3p!(3}6hPCt!X4$L!o5;f@Kl+q##{CFoGd{9e%5cDUgPOv z10||ZGu_Dd52{IB*0U8)%t?3rtV4my7+D`(e6#(9X=UJqvmif;bj2h1=PU}AT zv)H~TGyPh(zQys;yunt)DmD5&*LS*SZ!N7M2C~NU`K5_Gc`XT2z88t$08O_GkgnVI z9BU)mh%>dO2}ENs)v%|XT9KvkT`xn~9OJsISGKCww-iot*4VBWk}eB_;pfMJ*ryde z33Tb4omdz{4@7~@9?JeglN5dldsJbka{|}D`BSJ7BiF|X_*+>E44W09pS68{z`BSa z_BznLr|WJc?O0)|-+mA7m|CNf-e|Q(yZDGrzuT*04((7Uz*jsmkYzVPovjS6HB1ir zN`dB5+mh_w#*0lt&dLEpkIqj>-(1>S_P_U3J{q}r-RbjiuVu4^*=kKw98Ia=x}6`p zv}5JN#2x+IC&ryE)^N6)3C%&%=P7dZ?D!-10s@vqL>aktT7Y1L#b_>wV6s+ak4COd(a{+ z2JxTtyR~&(Z7WT8z;V2~sM8lkO~l!31S&s?G|JA9%ET@`NtZ0Qmke-Prl?Q5eV)e6 z#i8p=sjTyg-b#{7uYAhZBQRDY(5W^S3fFQ@9Gy_QIWgAETjBeCPj{l_oBIpm4UV!5 zZDDoEyUbOVhiRjfyugElyKI^ols8EyVm>-ax4yH;RZ?1m!K39`5Q(JSuMSp|hC7;3 z^&AEY$RKwRgHN%Jnq*o~nVCO(ePa}OF68G}ZZXf>D*7u%QkRCT1C4?DAwLNp+Zj8QQ?l3AiYew7N9W{E<=(6n6wk9ZZ2$!9V% zhUZc=?p=qC@*qT$0+zUGa8acVnySM;-L?nt+%@frTq`1SsN>sPW4`SVhOY6W+y|YX=WlMPi(m{t zn2*9s)qS(t?HM^30HOih^_T3R2byhJq_-xj2EPew>%0uF6tK@+qdJet0;Krwr$p|n zLILDHGmlw9{$lGko=FEzR_XO?3`Z1tDXd91>h{jQXP$}iiM(|%LinQ(jAQC5(I zN%?_8#RBNAK>*PsK60Sr00&C+SMI+yA8AYP&ilKlGAj1OzQ5*^atDk|>&TN#p3f{C zC^7F6_5?fGZe$*y_N1Sl__+>GLr0CA)-p;FWd^S2to7I z#!+x5=`F_cp2&%dlM z>?85~f+?@3_M_;&^3nTzd!(%GLqwOG@QW}9Q$=4v%gXP)TVJUgy*XVjBNQ$nc)>jP zMYzZ=1xvLp%CuJ0RAT7L35K`bc=HitY_Y|W**Ijy)LW~J5uNr2&^ zp;-xKMdND3y8ewNrEFII_RWY-Oce7ur7Q_BgAz!W?=$o-_3xIV2;CY7o*a`%& z=z_Rn(2d`M_DQETIyl4j25xN8NK}CNy?tadhEw?RdHJ@*eAer2wsel^XZ`*9;S~Wb zc~S7&`06Kr(^hSpz>)t7s|!Hx-yF&D;t}RnrU2Z*ToDD^W4uYtK*;Ciarp_*e*j4~ z38%NtNc^$fV?`XBg(AJ(&Oq|&sk{mL!aAGb9A4dEVXqc*%;y4>a1K4UyW%WUyVn>~S z@?Zg3PKdL+tCr?Tcyr}&8rxYC4Tmj7%q-Iz5PTB=ty7AMuBw+!AL-KgMI2&P>ZAO) zVdT!}Kua`{&!3y(Zz+1OXhqzIUu|AyZUSX}(G?t6(}wmTj(&}OQxu(}=Uy)f{17X_ zlDCe-EY-obrzyIw+d>5SGZ}P8a5;8Ab~*m2Zjg*HjYRW!T$z`os8Xcbbiwa}Fj7sd zZc-*1b%5|BXQf6=mWY=YV6pxBkooqO&y*_4RmG8z>aH=p-)X#Xm`P#<;O0eh1rYuF zOT&Bjziwbg&<&5=a^{z&x7phpaTh!nw<}F2eyr-K<9?9H%YJ4-PVdEZO50mDp2{pt zwv-dQx7I7RG^T73A>|)j@=htsUGRjF&p_!JCR{Q;fRHK@(x^`{NY$L@rDv`e%-0cX z5T6|DpPP@t3kL!TupR?o$B!uUQAq3NQevk!X-MaV##L*8w}Dg7=QYNh$vO?Zs=n9s zSvD3WH-M){3JO6xle}FE!VYVe0ty1}Z0(@8@R<~p$qjPF-LH>$1VQ;T4PLWM$z0r_ z-{Gz7UFP7rkHwWPbx>{+FXAR;5dfF+45m&{INO76FIp4MtSI#GOo{Vn*b}QR4_jIF zb?J`*!W`rE%6dJEaNx=M+0JwcnbG~_09n9o$P=|MylZ1w$N`J%l>9)R1WQA4Zf|+Y zI|9wvwCHBBvquew_v6F*Am%KJx_`{+?Ri=AXIi{W^zgTO#h zp2tNFD!~`|Hxt_9Qh@)sQM(@iqxvpoA@^u2tg*oE#1gmvQ_XO|`be2ysl$UO0?Y-t za#`5?*T^aGGKg;9Sv3vkagz5`L3FWyr2jQ?&QJj5_weZcq<@o;09PbDKL7050>m<0 zJrI!SjRrS0dwK}m(IJ5Jf%hF9x_j{-jgkhqQVL7y`e(gdfW1y>z4!Z94`m0g%&k%W z_qDE^*txl=cow*PZ-5S-XXqzDL!M2IHJ2CAY&PqwwNO`33bfuLVJQAv9|~f%A-8CO z>Vlr2yd$^4XP_oP)EysYSNfZAvhb~h>sK-I(bo&!;+%VJF~hDymRIaQ*5<9tiS^b+3q z64bQ-mZn?#0>%EnU3A4tRm5;-k60y%?kD&sU~mw9e&?d?Pi#aMkKbvlQj)xnO52qH zZlhGnLeA&qGFkmMod76#xpv2sYuZAo0nZEvSDt+LfYUT;x6&p4G-f9;}5CgG=24qm(Qu&m&o0$ORUt7E{ zIiR5?&(oefYN#7U*WS3+`z;B3N3Djs?|}DD{RqrC+Qvs8D=j1QZ%$%h&MJof=9*+^ z0B~Mo;4g+ThQPgo(NXNjT|EcNxeN1A?2o;5SOkC$lf=ZvJ|0{R51^S#T1i*`j>{Uj zvUY=)#{kW22ja)Y=lnrwDvBCA z0S4DzMRx*sy9lsR;A(Vp6W)rB0P>~6>byDK8ZTk*-WbW5mlU}@lwj!eIom!z*CK6O zBx%T9R1a61u@`ljf^b_CSd4AEJiQzC>^KqVJP{x@>F_$rr=FW{a_Zg#+JOZI_I<97 zEo?7@)rQYC3w*+A@_bACbL$qq^17e#h6Eh<(T-#bWu#k07|o#}`g%~wmL>?jAJah( z;XZE}+v5%KSTJD9O7oQshQK7(X@6~RNv&U?kFCNElufxmd0OYsdp!Q369%KCX(mHLdb zT4SsJ`ncgQ>--3{-t)R*R>vtqDsUZmJEKR>q240IcmWwve}8|xw%5LJ-yR=R2P&oS zo|B&m?Pg|S+g4uIV;R_2MgFWx3au(Y>CJ9%;O2*rUWf$^)x^1H2I5`Km(1S1{7B4~ zFi>UN$G*cFc>W%ONagIJ92LU5qrB%{8;w*_^Bi>TB};Z$6qkOR`1u!6Is5WNKGtc0 zg$WhV9dj*a_1!B|jDdkG)AWrSEmeBrQgnGCCAabZ|5N9Tz8$$~PE& zC2!{hZi{1?cN_qI$6f4L7KfhBuWZFPoV&Pe)yOE<(m%!EKx9=Lr1v@`_01GNulgb< z?7VT<$1>(=;e=kDz6`291(uWxgLfiOpGSZtiQawgaoI;8wJNSd-j2?8OlUz;tvtyC z@`D*1*!AMgp9MtzVTIP^omT-b&Ey|QKLCZvM=y#D`&+2<;NEuQR6H1CaFDt)YVCXW zS9A2_z96poeCN0fJ)L-O$>WVM6>>Xsj+Jt@&{Zjpsh2vE_;2Z+lMNO(f~)QE4o`8t zI%OwLUE$+aQzmTb%fb+?;M0DqU%8|wTdl=s^~Tf=>Eyq}|0}{UZE8|>JaAm=tY`~~ zP&u&Hf=v6@fgh2-Wb2btkz(!D?Tm2;^8?)`KI0q zm8;%mxd(orvKM@}HlY2rlRD>@g^K3Wp@VJLBo{ws8WpmJvG#|E8Qt6lG1c(l!u!r?IknZ zxXJAH44tnsO`Ryo`qV!%4tekkpVe+}^v<7jy`)a zxz5n4Z8q*jNv_R#_p#f>)03g}gLj;xfHv zBprULSfD>HcRZT8wvl;NsDsyVdDk~-_BC{q*A3{U7(%{#MMc;r-28CcchX9GkyCZ< zLBA}}={`J?BQMiv2R52QiRktd7j^%Y^Y-Mc$9$`Kw#dhdijgVtvii>{VJfXLtBVac zfKx)^Y4Z8qors^ze5RFQLoM!q+R!MR@3hBTTBsUW@yUBGSZ>lt>+gU&84aZoNgxi& z(J2OIRn-F-^AwKrkDbh)TP-4=TFFM&PULp~fRqwP=!g9OQsMSFvU1GDjx8q7`GZ~0 ze{xieTaH1d3iImT3|fbzOSPQnVZsJEx@$g}Ogd1s-+RebRj9 z7$hfL!h4K%@|(!Yq{{e8hbSPz({Urd(l&H{n~F^SK=rr6N_@BWc9qo#TgWwqc(d@T z#Li%x-%A-7qsVu?ilhB~rJRPWik6lG$x$s@1x}5u!%RJ9!%gB^poM2toLy~beVo^t zXo!*OcvP(ZN@r#?R81J4M`zjZFKKpLPqOX|v2|8_$I)bu?|C+_mrtwv#ZNUIk#{L; zB}mnnw)g(e_^p>y@e7#PHx)*p-;oA%dbtMrwdnYKTUqpF=#zGrXa!0=OF!y|mCEEc z3cz;rBUC@lai{bue=*Qg*Mnq_HQmUc#KZK0tGRNtqlzq0B@jQoi(4vv&6BFGt_D%&PyFC8hW~?VCG$?MDhaF233HoWjg{En|Kb*co z@j6PZyGt}*6R&(&mo@mAfPa-_UE(Kvy*V4aW8uARA?GO2Xi&UgZBrsYck0y0D22L1 z&bnJj*NL3hD#vR@&H&!RiA9&v$nCF_(*z{2qYhZ7$YHo-Q>7*f; zXh>!^`7P0}%tm7qe-az^)|9Gg|E`nNxwR$J7aQX3t%Ikvz7l(jjIP6HQ?7ArA?sl+ zO_MTy*2&8ur9V9TXfU~Yb#kpUjBI;=bzuja%{?Rr!K$IO9>q#wb0aWsjR=& zPvp0I_lr$K;`Uc}<_+GAae?=`Q%<%M@xlWnZ*n^ZGvI(?ZZC<@My$-0BX*v2KB4|t5ZuIGK zDlN)y$VT<_!w$dOG;>;S+u#w8GIppqX^vq3GnafP(r`L7tI0$CEz1%F7$GEyyFQ?- z#jlu=_uV#>(DI>m%1`S!=7fER`O4giA7d-mkDtZ#Jk2zK=s0vGnbrYwr1aRox&{xq zDo)3#JxST+mt4;^(7@$7!xmzgH9{HZC#UO4qe8S^n|R`CxSCJPA`|Nt+uym?Ps#Bo<0&zmi=N~F<$xFYvj1>u$eu_O(o5J zYmzO88G(rm`2DT<48S4nVe{q$7$zNSxg-oM&sP0)PrmcxY>Ue+h#;Q|ys?k+GcV>Y zEjnf0!9OF69qz%@Bg}Uao({aQ=|xtptRw|;ysjlk1Z%I9%DoVsWD`o5ot@bN;c>je z9IZUCrax2N=zy>&i0%8Hng3JCb%wL`xBu49Y-`l&P=eMfs>P4gh*cD|YHu}amTK*- zl-8!y9;HUCwDt-`)ovnY%-Va!h$O;u=<~e!zx(H1t}8j`T;Fr<@3}wg?gu}vCN1n) zN&e|NCa<$ zoZfF}YAO${?5}$7hC5EH-S6S1i(bI&$uOAfJn=N(c$yVp^;8oM8 zcJ=!aY|J?C+YUbDz*0rB0*QrhdL)N&W$$TGHU0t!NJ}ATM@`1bJ3;qm^*jvM?J)~c zO_dN|9)j3Qgc*e|zhyfZZS)dK!t6!ObQdMEK=-l~PBux6hzrQ8%9ZcDPGHxcD znwQ%alHi8rG8Xcp8*Nj)*1~>%_M*Kp|;BMU}4Wf(&+Q$B+sGh4MY^=I$YcbxQhN|^a_RxrN z*nr(|?%48>AJS99B7w~JXBS^fN_fz#(COExqX$}=Z5-LyJ zcpsg>IN2w$xcwE5pAncY1q5stl#CSxx#>35g$0L}yg3TswbzB2B zT4oNGxz9RXxN;JS^K6ET-@Dz&w@xonYB$#xxUH(l!i)+w63&E-D&&mBt4zKm%_>rC ztx^cSUw4rK8hn^h{+Rqx2he{tvkp?Cx2{L)*T@&ZxR^5sG+r>AM_NAGN|+`V^hMT1 z*{B}AwolzPBtc^hIShM>Y-p#U+rdDH?L6Y!O{axB;7oUGua+b^G^v@Z@R&B*M)e8e z6E%A(;5G~BE#kvfsqQ$D;$4CAPVn%Dd9cetV`OmF?z-!bkpaR}wEOROrpZ^bu!^~- z&jz=RCm*QVxe|I^rFQh!N?p=JZLLD_>^doNBKBCqzBc1VqF<&Ls4ES6(q&|E@a61q zq9%8E(8B5d*bM+Jlk1u#RWhMM%A)Yc*LCa!lN?4H8s&G`jQ^5;yNvk913D&1|59QZiZ6b(Cv??DGC7x~#tlAKOV{l}pXS}OotEUU z>N%T&kpmio&tK?;u6Lx*KW?G%w|3e44k#@*z@T5f*IyA~Ry zm#tpYlVJtk7jWPGq$M$vG>q zlp@I4igYF@l&tj=#S+<dlJ)rhF;x*FzF$0ZTHHmw+Q9{`-80n!{tq;G^wrnzDj+PU4{>CaW|E~^MTF3tl ze*Wu_2KdHyey5O5WazO|!dBiN8-wyhh22)zOE!g`j^O5^LY7vkaQ@l_|!3CELTohF{b#9c@bu&9MsY zLdlyQk*YSd%Dr*YGPr|JmJO8>f4u$}3SuU5QGigrP<;5+7|-lh2Fi>JLD7r-u9h{Nf@u9du1R zTDU$tL{4jclizce)63;rsSIOny)QnfgCQJvmLZukw~i! zm{2a&X~OKDhGmn!v4BpBoFWYub$DvUAcxKl?*U79--o;t`mxUb*I>%at+%$fU2I3U znmVw_vC|g5&zuoOek2_1I8t`BBg|}&UqehKx|EB~HRtp8X5;bXuXTu|O!s!6NGOmksf!rPmkBQCX%~#jwSkE3zX&u}T=I zjyX$?Z*8^wAI=coNKZw6xTF{bXyS~yvKfxi+ga{IgJ;@$>+0&}GY5*KKPWm0tmZPU z@L?LjtBkm^wS+i-trh*{`q~WvoUYi+#HiZrT=L8cb-=7qqz(nWaRkln#<)^8JFU4P z+qCGnjGYlqMBI+0ehDjoq*r!`bq8l<=r(st!&Q^ zYoWd9@67$m%V~wxiT?7p(OlmJ=dhvuDjJdNLUK0mfOpH@wZ*~0fmq3j<9OPYF{$>$ z-9ho*xvk2rw5BFWC*l0rur~YOIEOkTSA^@vq<@nSs~vLNbt9iQTik0%Td2vm5^iHq zkkM~ao$odDroLB=8=p9m>?Ms&zI6smLPYaP-M+tg_f`06!mMfXATF!`vCI##BRDV| zOB=1TY_0|N#R_+OYb@Lw>9eZK?CH|dz0#QAEHe)I{_dcrl4;nGN^K~X>WTI+6hFYh zjDBvFa3({XOQt|yg)k}A-i0F`|CS6j|0e}LHDwX8^U0U%thLZz!(mG(C!*XV-OPKf zHbK#+`N0m5q$cS5kNN0Qv6fhyuz&{i#h-UeIUPW1o0=0a*C}lR3A%NCMq~trZ%&!! zYm2R%aHPqXv-pt@>2bCN4&8{RCKL6tiKZZHzMPu9G{Kx-dhuJ1u+!3cPDe4xaDSepJ8Cj4Fc2ujNt3C z#o3d{LtE?`ori~PGyIPT)6WIxv50vg`{*Zo^N0KA^09Z_mPU@1#oBIRJ?^Iv zCh$VKvscW925gJI8({0i$0eb^)_Q6VPZBrq&;um#Yi_=^7)_RkU-!yzeR%0F<9#17 z5fJP}%jS(o;|M)7<$z{0{+yz!pBYzWF86M*(}|&`aj)QoFK=)`RgI+JRYEZ9`!cU0S43#f9?&A)IG}&NE zb$kXS(#{b>Vy{a}OW-+4JLlnS6KRgPs48ahhbB*^V(Deeg1+1dF}Qx04~O z#Hg%!yr+MMwa`Qv1I;)6TZR{#l&q$9CWl!#(2Jjww9kNaNM@{H!r!je8c(~UY{fsk+c@+04h;nfMMo*Nn$<_by@+$Srw+_LA6 zT`1cME&&Lg7K!si&vQ?d46sPr50nZW^-~{I{o?pJu%gvq>NKKLIDD(3{^ zp^Q%os$c&)*aW!TmG*p)XV|Bf3GL7ipP1M@z>}{icNTs%?r^wp#Inq%QM1lFjVS+? z(2YiUd1IaSZ~bd;OJ9z=mg8WH1k|Cd7V(M$Uqj)?*qj#6#S^jBL2TUD9w|e2iK19q zxvzeKnN`!_yO6kF65`G3o`+2wY~e@O%lt3Ha7;Av48LJcv=Gd*Aec*o) z{_jYudtN$fEmGI(=4aIm@z7eg+dCsZ>N14+{U9vpj0ig$bv?;IoNdXR&9ufhx4R7( zxVpM3%(v(fB0F2(wPLB%QT&F(Xxj8c6+biAy-;&d`J;BuP|q1nY8~pR)l$C8v-iIj z+%{heUZzX`$tG|c`G0@ub=bHHAfDvW=CevV5ni+Jj z0Djq2JlFgD6HZ>g8-5lcs8Ml1X8=#O(R*^%`O6Cy7{ zYpU9TgpU?U+NV5o)oWTRk(=~|?-MgRdzd#XM^MvBNm_!Z=(p0YL2hKAM5PC=^*B;qw>y0|j*_3Yh#* zcKEXP|9L8#Q`KMJFFiB?kft(eHYC6}ZJ^Wuwoa4WlAm$YU9|C6Y`~akq?NQp>16%W zg(>DXe&{FwYfa6Yy&qLyJ*8V!%xxjMxVmo8z)`zyH;0E-%})XObI42m9Qal>P8$qU zn3B3;1()oeoD1eZYR{pM{?UcShh2@GI5~V|S31yo!1H*hWmGX;9yViHm=e(&f^5plGwvhD}D*yeIz_k?f z91G6KpabQggQiwO6CwLymC0vWB5sLOQ}34hx}Y`y^YX_)d-m0@Q=LHVSHF<%=|yeE zv`j&+WIJ*14zwOewbDIiG)l^mA)pbXnfwh~!Lr22+HM^^0D@TTA$ci{%3nb&BxuXKz^i{^e_^$BAn{duR`h4b-?u3z7rW=l*N6Lpx}6DiiMj-unH}{_ z0G_bdX~Aom%m-|#_tQKdOfyx*&g)|uq@>tP&c{pjl`3xouEp5k)wH0rC}LiW(O33t z{eh!OeT!Xqa~5Wls+mD?n%X3X7Iy))&UJNfp2#<|KV~IvvL^f$}W$~bW@xv(1r6$d@bRHaPmFsU8; zG!Ee{Q{Lr1X4$@KoE1GLev0v%JqfZnn-y=k?9#HA;xf|`Xl;RD+-QJ|M_;PHMg};W z{=@_4==o+nzqR#B>!&Uayz}bZCd#{e$?ETX&4*keXS6g&f#rplHqn=WkBXwklL~pu GcmDx9Dcqa@ literal 0 HcmV?d00001 diff --git a/electronics/converters/current_limit_smps.circuit b/electronics/converters/current_limit_smps.circuit new file mode 100644 index 0000000..0584193 --- /dev/null +++ b/electronics/converters/current_limit_smps.circuit @@ -0,0 +1,32 @@ +$ 1 1.0E-5 10.20027730826997 50 5.0 50 +w 784 192 672 192 0 +w 672 320 672 368 0 +w 672 400 672 448 0 +w 672 192 240 192 0 +v 240 448 240 192 0 0 40.0 5.0 0.0 0.0 0.5 +r 672 448 672 512 0 0.27 +w 672 512 240 512 0 +w 240 512 240 448 0 +w 240 192 288 192 0 +r 288 192 288 432 0 100.0 +w 240 512 288 512 0 +z 288 512 288 432 1 0.805904783 1.0 +174 352 512 368 384 0 1000.0 0.44060000000000005 Resistance +w 288 512 352 512 0 +a 416 384 544 384 0 15.0 -15.0 1000000.0 +w 368 448 416 448 0 +w 416 448 416 400 0 +w 416 304 416 368 0 +182 544 384 624 384 0 0.5 1.66 3.33 +f 624 384 672 384 0 1.5 +w 672 448 736 448 0 +w 672 512 736 512 0 +w 784 192 784 320 0 +d 672 320 672 192 1 0.805904783 +l 784 320 672 320 0 0.1 0.12023805537154462 +c 736 448 736 512 0 1.0E-5 0.03246427486372743 +w 576 448 464 304 0 +w 464 304 416 304 0 +w 576 448 672 448 0 +w 288 432 288 384 0 +w 288 384 352 384 0 diff --git a/electronics/converters/joule_thief.circuit b/electronics/converters/joule_thief.circuit new file mode 100644 index 0000000..59498e8 --- /dev/null +++ b/electronics/converters/joule_thief.circuit @@ -0,0 +1,32 @@ +$ 1 4.9999999999999996E-6 6.724437240923179 50 5.0 50 +T 208 112 336 160 0 3.0E-4 1.0 -0.026478944292695816 -0.6687303699746134 0.999 +t 336 240 384 240 0 1 -1.589470461341346 0.7363438486334227 10000.0 +174 208 208 240 272 0 3000.0 0.024800000000000003 Resistance +w 112 112 112 96 0 +v 112 240 112 192 0 0 40.0 1.2 0.0 0.0 0.5 +r 112 192 112 112 0 1.0 +w 336 112 384 112 0 +w 384 112 384 224 0 +w 240 240 336 240 0 +w 208 208 208 160 0 +w 112 96 208 96 0 +w 208 96 208 112 0 +w 208 96 336 96 0 +w 336 96 336 160 0 +w 384 256 384 288 0 +w 112 240 112 320 0 +w 208 272 208 320 0 +w 112 320 208 320 0 +w 208 320 384 320 0 +w 384 288 384 320 0 +w 384 112 416 112 0 +w 384 320 416 320 0 +p 416 112 416 320 0 +d 416 112 480 112 1 0.805904783 +c 480 112 480 320 0 1.0E-14 163.10785635015705 +w 416 320 480 320 0 +w 480 320 528 320 0 +w 480 112 528 112 0 +o 4 64 0 35 5.0 0.8 0 -1 +o 22 64 0 34 160.0 9.765625E-5 1 -1 +o 24 64 0 35 320.0 9.765625E-5 2 -1 diff --git a/electronics/converters/smps-guide.circuit b/electronics/converters/smps-guide.circuit new file mode 100644 index 0000000..3769d10 --- /dev/null +++ b/electronics/converters/smps-guide.circuit @@ -0,0 +1,37 @@ +$ 1 5.0E-6 1.5642631884188172 33 5.0 50 +g 320 416 320 448 0 +r 560 272 560 416 0 50.0 +w 448 272 560 272 2 +w 448 416 560 416 1 +w 320 416 448 416 0 +R 320 112 320 48 0 0 40.0 12.0 0.0 0.0 0.5 +d 448 272 320 272 1 0.305904783 +l 320 272 320 416 0 0.0030 6.916667604571374 +c 448 272 448 416 0 4.7E-4 -22.48528074589371 +x 466 54 602 60 0 24 buck-boost +r 448 272 384 368 0 1000.0 +w 320 272 384 368 0 +159 320 176 320 272 0 0.5 1.0E10 +R 64 240 64 96 0 0 40.0 5.0 0.0 0.0 0.5 +s 64 240 160 240 0 1 true +x 147 281 290 287 0 24 select input: +x 146 312 286 318 0 24 clock driven +x 139 370 298 376 0 24 user switched +x 479 84 588 90 0 24 converter +x 598 322 648 328 0 24 load +S 304 224 160 224 0 1 false 0 +R 160 208 160 96 1 2 500.0 2.5 2.5 0.0 0.75 +r 320 112 320 176 0 0.2 +x 202 339 227 345 0 24 or +r 560 112 560 272 0 50.0 +w 320 112 560 112 1 +x 601 173 651 179 0 24 load +x 589 201 667 207 0 24 can go +x 600 382 651 388 0 24 here +x 590 351 668 357 0 24 can go +x 603 228 654 234 0 24 here +o 7 4 0 33 16.36695303948071 20.94969989053531 0 -1 coil +o 5 4 0 33 10.0 12.8 0 -1 supply +o 24 4 0 291 80.0 1.6 1 -1 aux load +o 1 4 0 291 40.0 0.8 1 -1 main load + diff --git a/electronics/converters/smps_current_limit.png b/electronics/converters/smps_current_limit.png new file mode 100644 index 0000000000000000000000000000000000000000..caacf504a6e775c7e7356925e5279bdbd2bbb7fa GIT binary patch literal 13838 zcmch8bySqyzwe-8An_^=(x`w42>8+s(%nOs(v8F*H6Xk&f|R6m#|$kcts;VS4INU_ zAUX8hJ^s#FH`Y1#{&CN}v)1se+0TBSz4tdi-ylpwO`e2^ng{}ckSHq1Xh9%XbRiG| zr<>QoNc(T5O>nv9E~Th*6MXz`TD}FpZ@DTMxI-YM-|%081a?vy2;>1oQRcbM>$I&I zUk{zb?4wo=3dl6GuLn`3)6d(N-F z`ZZq{a5fRjqz!gt%Y&S`3a*_L*Vw`3-Crf(?^CIYD-cM<%`f0^pCA1f-e4usy?vik z=NY9AFLlD)&R7H$kJiZn1X4|uX=Zzi2Rb#BuiP8j^Wkqs=KlWg_|IrcWItDJqN7}| zfa3i~IV(!J=BcZ10{z6z*g_!?$#=UaA&IW}>tWQV%B+fKVrR>xM+Nqj;Ef6vnP#Tt zN&V%-P}}0KzH4xo5n;+;~oF_ayye`$mn`X@q(~Gy`Nn zxCv)dt8AhTX-+A(;A{OKi)D;Zj;0+B zfohoCe$V^XoDc%hav9@Xi{Qyqj7zD*(YPoinQ_)Quy}4fUS3wbI90?Qnhgoer5$5k zT7-`L1m>oX#g!Ak9fi5rq%)Jyv6*-#9DKeygk;G0ZAWa-!hiV<@KaGM%j5w@-6>3k zK?0KH`5Nca_|4#rQJqp@`ePG#^1-N)DsBjO(K@skt$cDa6mVJS@he%&D!qcw(4 zD5OZ3tq-&8I@I(N#lQT*mY-TT>EKh`%oDav7fyFJ{KirwB z?d2_R=7$HgA`0pQ0v5_9%cG^=Kp-Q(b$wH(4I3CHi_mQFqW=#k@9ABl*gkD~ao4>OO1CcGH4(XYjS8R!3KXASeW)pkChm zm`?ObBrPim?f5amYmV;hv_oRFb!R6aN&HNu;m8o>e>Og*nwp0xHWDbH;(2Li_B#7Z z<1JuNM{ZW%=KT~R_s`w4YdDVaRm3g{MHn(unbXdvHCvjwT+gshjp+Ku?ETF26v4{v zvC$qw#meO3g_o!tsma>X*%`e2s(n5&>-&Z+JFBNQ?(#da+H`pFV)(3nrm}r?zQW_@ z=gO&KbY7BKqLNacdw=B2(UwaGMIo2r$Z1#TY_o+~clXAOEHH^#x1#1rg+dw5K6ymA zbqY?|E(n+>+wvY^)*O9WC(mRabvPS+WP%_4j1(B1!G5Q)sN*siYIxP2$u8bvcoQ6k zH~YvDMq8!JI#lDZxzXaUx?_`4DeWG5$0&{dzQnZGZhK{T$T5XP_4s7lo89E<<74}W z`UH@Hw~IE#ck6f@5~!s^zqMR$xv1`7*9t3JP*r{5(6>sJJXqb*aDNF8+fCP(el)_o z@UzApB@uYFql%e7v(v;Q&&?<4KK9LxVbfp_IoT6v!LbX@kV~GC)r*SHMVhhwEX{jL zj-zOs{!py%ujKKuN{i?jeiu{6#|}ez<0| zRJ?`s3+|U#ogPn&gO6I1P^ie=9c-l2_leN0#h&WYcEar8LWZewH2U(Qyy;~MDbg;( zE!>V?@UKRWyMOn7l^S*&>*Hl-r$J1&Ays*}`gz}lzdXwUB(J8Vl!jZ3c3nvoP?orS)rO6vW)?fp*wmPFC|3-=t{BI{(3sa-T&{Hc zz7io}Wyor}NC#r4v@UO^(ZVW&kdQyh%T+`>V9YPq`s00 zbr0=-$2thcZh3l40Eu)b_UgO{ZIo>#Kd;N`QP;kFmGXX)wq!_S%UpH_xbPZY8z;=} zYK;^vaOHv2k%zkJzp>ya30^Jv40(*89VJjEA}Ioh!p%rqpF6@1j;|i|0EvU>|AV)t z=6CQD17-2D3!!+iD-4u5dD1Ecl^7mJ_M!6s4M_N}bU4L{dn2dtV)^Zr8Mg=z1@GF( zn-ECet)!W&owh8BQJSPe--Vg9xv|OIa{|y4cbP$K&d|fg8(@;wj~L5>Q^n^0ZvP|U zv5Sw8X^UyxoX?h4*J7keg}#ysEypt@7ofUwl-Az%0s+;3yeQG?X3TbZ_O}Iwh_p_c zvyEAAoLO&xnbB4u)KX3rv&2Tly-CLeC@B;K(ZP3`S;vcqUO6=$HC94U=YX>Wf1HtEgkJ%+Rl3{o6A#$!?&fo0>PfqDJeG`f<_i% zzV3urooXX=0K@X`1Yus$dXqxSxFYB#<4{FZux}Ah&_zAL1wqBZdtCeM$JneMr67Ph z1VbA_9#a-PlplGhKEP=9nZ@NZyVn4R&BzaGHp{1VQyg_uPS|G<{T|-lZ@Na&^kUU+ z%Std^UHj#(g!RE(`MA2erIQqQqq6yu&({TsX!l4CS$8D%mPGnlY{+aJuXnpB6<97& z#j?sC$u5~Kjt%NXcj3HRd+aZzmV=Uq3ns*_Dfe8qF&w~TLuT3_+)51r2*pZQ3(Ahm zT?v<2Q99Ar8e|gZ6^Ns%Oq6z^S_-e7R&HJ0kvDZCM|$2uE5jEw6>;XnIovMlV)dvp z%hOFYU&>Q;V6Xl1u53#CKr&y_IyYjHB(DV$)_HyWSMO^_fPm+`7~P)D8OAl3p{ONkLz-5PNZ%MR|EL z+65|_&nQRYS+%QQ@4gIzG3r}jhzsU)4n;6%M4_S91rQ* z%6=oJG+g&i*+mWwYI6LTQ%X6zpGo{rsY)1M@jEqSm9Oms^&xC4f zGM;Jhbl8I{3VW=^s0l6KBViCXE^j$SZ#Qgk9AzCrw%tAN({fm zJhT~M1x!@`%vj!Py#@PbhaCtcH*B?w8+Dp~GR%u5Ivk-xV|#V8THdlD#-vD2 z8h(|F@bFFgyMavJ`@I5up6fcEo8y{*y_i&&mWidU*n5$q7w5v4HoQ^NZ%AAz1QP!AVP7!m-U?XFw^|gfe%9S*X;_B|^v-xsG_Be@k zpvdF${A*Y~5Mtz&)R#(qZ?!Yb9qAHSR^N*(M48yuCnIBNM7Ga68KZ66LP^-3J{|cU zNyF#i(L`nJFh2gx*S8i49 zz9Q`tx;>1;Yp(Q*fr*BnnA=OXW5*0G4r&2}vCMznTs>)j2PHx-!y6BSD=n4}eYWT+ zAeOYiYXU>3H^Qv`jnQm?1=u>TP7e9%lYq2Rp$n)&K{*@SL034GD5NcHPXi*^UA?Yh zeokp+RCD-B%QIqX%@e(!)hB!s8;9{WxAAWDvFeJS`^|1IN6wB3Itvy)`0)@{f*#0L zCuKFHTRzaUj6Y1}N2%}33S&2`$d|K=b<1mg^&v5T15*L<@~sojoG}m!#l9s(^_l*N zdGtl$B|*bO>1-FN)6=+e*d@H4v{d4r(KI6Mdx|Y~YpSrDM(}EJ<~6Poo6$moGW<|KSyL7YtKcpw7w{`BzdTJKbrvjgg^kaCxuPiP{X}C71mm6x4? z+u396U~QN=%r(RZ?Ea>7DDiL%&qBP&QsUT70@rFB%ffqU(z39BN_4%CD=04eaZhMT zyMg*GU|U!UgX?ZD6=+752&4&n+K0;zscff|3hmkR7av_kUWvYcggiVw9>Xk`aIW)} zBsHXAWLx^gf5eCq(x;!huoRDlG+TDY;IholaP?-smksh~0-JhFt_zRh5468^OLNij zKh>mf@K{!AL=xs1Ti{}5cP3mWQY^at83|9Xkl+!C0Qz6mR|^0tOXt+D)esf z(`&5ScJ?I^R8Ig^$JBYbr0W`&Jj~B$o2o+YX)L8J-p*L$Pfc~AROMM#{w@^S(<(4a z;Oy6}U@ZIXIPu|rb12qn8}as`KA$ub<)Ge1%aGp2%dt)OmHLfdTcIV5=ZH7L{*7^J z7e^>=t934k^LJknqc0x1Ytj=bFa(@e_6e<|`PA(gRja?*U90;w-Z;j-tQfT;eDB0} zQC^FdF^X>1%daE5)0bptYWl*y`&Z34%h#N+(PvCZS~uj(-u0$ELchIhVs0|D{KgM% zDsXm5zuyQYrpoc$7-eR2S4lRkbxi&q&ET@%Nlh_xH-U0W*g6Z{S2Zaa`=_11qrfBh+ZkFS){ zY*4U8+ku~5J-lCRiCQ@r=5CX?z+(jOm*M-i?7ns*8YdY_EDIGIwXl+bic*iX^qy7k zGl_0yuJ@e5w|sH?ED{dicP^a)CRU(4g9^79hqa*VI0DuZ>S8+73%Xo(4a! zPg7H+i2$jZ6xaK%vj%oN%+F~JsQ4pSdz7~R*-91Abhv)zz4f*tl&w$im6i67EU>oErK zY6*yW$I)_L9>@4TAtM|zJ2)(oTC^uDuyXc>`z(E`{Ds35z2s42&jE{=8nQpZY}#!9 z4Q*h~8yF|wJhQ%#j;{ZQPnYDT?hV+HU2>N=M;ufAG-tZoDXZ{dleXs`iluw&MFV+# z%0(e`1J&7gJ~xGO=Cfbazjg?!m6}AW_f|Cl z7ug+eEQw*?R$3Q^VoF9Jae zMULn_$+AT{vcUX>}LFR;?-GJ>MJ^IKkL`zD-%7OVAd$$pJ4Z`{yyjKXU zy2KU)4a~~|Pjf!fCs3fq4^iWX@Gs;92>=CQ{16d-C>OuR12A+8KXhdl1IZ&^&W3!X z11C}f3qD8%C&;^pAEE+7UHBoRJ0*cn=l4&R_D?qH<*M*QH29&P`zO^e+lfl`E2YRE ztHg9KfAm%81@au|C|z;oW6!rR@*3B9DH3Yl&h2d8+xS=B`a;xs1<@+8+iaJzK3bYe z?|+~vcKkDVeFPO3$tdzS*pnrB5H!XLvHQ+!_4j<6n;>n&YRK*x%H$_}xf?-Dr4v z)*$v%=WO?ueoVIbH!`6Jtbp0CYOoMkZ0>yIn62g+jsUs6}5_{OZrlgwN>3Bd>Jo zTw{OG;kWmLg<1m91jfrXo-ox-r6>ODt_-+)1Dvz@_t)Dgg0FH$%gr)t|8<@%Szrw{VQ?(D^r2{80NVOrZo{Tu$a;dpM=ufUCypr>4QD^OJRaC_Q%S;U3)k*Ht%GA z#bN$y2+{Rm{%E$U%0N2Q_Xuc=G$(kmu#tPdHWpSEkBnlFFy(4J|JWyi^J_$2$sz;^ z14G->5(79{_Vb-6+T@WAu!rWuQKN>H3}0T?pPyy0n6sVM^1=)sB_t`G(mLhBsLN!Y zc(d=ubXC7vJhIHNS(v#$T?H?J{=C#MFzH}F6K9<1f0T?D#jS~|j_-q3i5X`vk;UEN z-H!CP+(>wIA%;vQ4dox3MLkXr)`VSu1`@Yv*x8{je$cEkTG<-IF zw1ig(>Ww)sIs(p-ric@(NLrzxBL|U!xQsKO#}pM;QFv>GdX2@1VW)G$!-<4wqX1d| zxkbL@W)Q&b2Kb^>Sw(2(y$p?{5m0k!IVs2)EZW=KI~dNq)NxafLvzr&6OG`>d7^6jV7;wiOllAU}OemMRmeXDrMDE&fVX-ul^oaDY zPEBAC70Gv6{!PrehIz?n^vJ}IvxGg@E!XyeklH#K)jAHU3e4oOWW1Jw!C-Fn#tr9t z-8Hs@k4*dzF>aQFFA)#Oe+SS8<|$iYG5@1c?u8fFtsjE$FhydK}3=E7+4Qw4!JzpApPy)HnF4}V+mT6`yV0_pGAmH!M* zFI8@NoY&CP><2TPOAZ&PYU3_1JiAy6S9d!Y#!~nk4wmB7*FV3x`h$)sW)X;Xj23G3 zk35i10HQdzUcklnIvIt14vNy$3OPUhywZHU@U8*G?XhlGo;R#{6vYYCeLT|O8K4EE zs#SnvVf;x*evgM+q0VP>xC^sLIuYG~%l%AfnZbyJ-4GN0acXW$h4mYxOM#oOlL%to zr>wW6i22q6Q5!Dk=nvT&Am2T^@Ht&8Wp*+rm9ZD0sB`B^TLc?@O6?Qf9yB6}4eNSLaiY+>#gt61^hv)nK z4%2lVs3BN#8q0MzVxWgZ;h3%Yf`=US)*)=S(Mv>)9?Qi^XkTwVSi%+_+=a4#LkKDxd3n35?q7bQ+!_B8+yWhy!*x4QS7jN7f*q^z~ z`ynv>z-y#*!zOZcv)&zg^V(C&=Jr|DzBZ80G4h)8uj>=tR{?4RjBlw?otnDXVLTgL zk7-3wJF9ZklhfvEBPe{1e}6`7;=P=ZBI^B7ls;Nzcx1i}dAOPzy?%k6t2?L%UR}@Z zm28fv$7L*YqPV>9-ihBSFcL+@JsNy_gPWI#4$ti+(I8WaZ`kvA;q06Z`{*qJlK zTUnypx5=w?x|6uZb^>s|Cl{A_qANhCM+!k4;d?Xza51b{k7c>OWI*2q=6+Vf*?gdd zr)*bucU;>%utY=q{SxKv@9wkHk{StUKyCrKVa1f0h|Kuy_fqrO>ny~K9BobUS$vO> zDTi@eIRF)FZ*LC^8hCAc@iUn0kHG%?5)3CJ>$Jx|>pmZHe|@`gN7vLegSagQ)ML|x z+z%!l%JUuXcs7Y1^eM(I?fdY(=%MV*5KvD*k`*qU80ElOVD8l}bEb8(KE-997KrL+ zoPqlJ@3!Ah5ppl!cb@)`_WVjwusPv_HQ+x{cEbg0B~^V&JzCAU%QGL~8V`E~=JNbR zclQRu=5Po=&AuSQaG6|IhIdfnwt>#~jC|gEmM4DVRH50D53+1B{dKz&Ikb7D&Gd{0 z8c6YsVu}Pd*>lXK@D}-FCTk5qAdnSN@dxj&jp8t+_gSlW0jQbJt~V)MFiQE%JaD@i zYkCMIL9I|5Flnc0KMJ4ILVt{PW_y4Era$(P=@HOc8=-Wy5UGb8`W2GzC^(c-Lxurx z)18}b_B4D|85*C6cI>AwovZ$_{en-qBZ>~cPZPi0cDKeEB4pcSjYE=kUmA+TsCHaT z^nnd<+WP2hH8cqg|EgZ=X#!x44fgl?Gv?-l_2P#8g4B_}CCv1qDYLoQ*$!Z5tEtrx z2s97h3m|)XBn+TpB)ym+p2$EH^TtC;ac8YKBX-@A&ib$Yl8wBZkEGQACaI8N6G4^S zyLs*4e4)K^PovIAf>MOvsaslES((pilJ{_RP#TXDBl(FLx5OfF?%+cM2QIj_a~t)1eo(?EFhLm0y)5 z-6NKk6!~?&!W4KbuqQ4?YXTnF^RuId*Q**Pq8>%Hj&praDGVdP)3QUQaa~IIr$K(~ zTjowE3C#h}T>G8bW>yAyP78|Lr2uXl+D`V^!O6Z*tW7IgF^hCd`?pvi%fTX~bi#Rf z3mE{!BKC56!v0rvEe>bsOTq380E-jC*pdan+IH#&{hi%9KAT?HV-GC@R=O@}v)A*4 z9LAqCvNfLM_utNPx~VJ4-kXwPihD_Svfb%ZN5_z2*zj5`P8d~UE$>|fbnNXNMkZE{ z4SH_Pe}$TWHMsM0cmGca|KN>{k`DyZaKP6h7Hz;+OFi!=Z@TEAH%M0*G7)G`AWF8L zH8X9`ue*0)rZfWUxR}g|g zsM~-dB6(|D*l56>;-df#9U=#jz>5h$#TpMbvi2}dB&5;x$zPq%ff*E-b`8cu-{-;C ze>@{jNJ`oOqB06#vr3V@WRvI!198`~2R<{quAyNLg0nm-Kw69IB-D8!x9_iir*Vm& z+R_Eq?)+q*@5R@DO4v?SLSK8^3eo}uC`{KBc||Z(`i*2%=dv0bd%iS)z6YQ-`;j(X zXS50*9a)=`(saJ`&|;SVk0QZ50wRP?f z0Ii#Ldspi+=ZyXRkq8_(3ZSu%Jy*#@v(C@`0K_XbtWm-vzCM{9h9t%B6Th&X#dIAWo4!2phq54){Hp_?WGk?^7+Cza(_K_;+IzH@|x&Dq3LQ+nzsIgmnxxZMVIyr~<7*&7mr19C zoeXy0BdFkHwO;UwEEK5+8C}N`Putq(hc&_UOj&Kdz3zywf>a`{}WD3O|Xhg`1cQ=UF& zboX{P0aY+aANcOtjjI&c@l%#bWyg@@Dw4H`W}YS;jZw`BOBblnp+s|W>`0fD|=si_l?yy`nVy1k%BgF)c+*+Tm}ZPB~Fz?JpZ z`$i>RB2cwXf!f61tb*L^Rb8k`Ii+Ka&;3FCGijjF|%){8c zsf-rY*n`cj{z;*<_*dS>Y@($ag#jBLhwF37C+U04iu2}z6nPQj*+aQ=w3t~Z-@_A@ zOoyG(=0C9)twO;6>PF#YB$DiZm1soQrRz1HVMpzP)rVOx)HM-EKPv8fM97y_I(+By z7n4;^(fk2T2EO8Rxvozf7mMEXK)J}8J;p0!yjO=3*g?uXQ1^j;HfeN6?i9k?$T7Qx zRkqjmrCe9Qh?$KX+fSQU!sZD8uoSz140q`#eQ70SSglPo2-qy~l>N)0#8oQHfQ_-1 zO75Zt2AK>6oD+Fw5h4sED5H7D;83_GNsY!Y#piG%|2*m>_D->$V9kL}`l~*w*gOqy zg+{NGF_>AKda?d{Er|Wuo4v$S4!M4!3F#cxh z2N!sa;2hP@ZYQR4qa>vYec1NQlG@tw&0CaA|JbfQ60PwhFQ1Fks+P$13Q$Swm*0t4 zmf($;!T^SJp;Z+q^ge5=v}!c$39V5NCnH=jwN1X-x5N3up{*5Mx4$`JcC+n&>?`93 zMa=HT9D&1lsiiPwjuqds_-=dDGv9WeG`Eg7-q7@B8lJsqhe$2j(tT(xCUW0{c`r&V!GGtpzM8FbKN-`?YAp;un#-67s#a3z2zfG`cThB+RGeCQNcllit1+6m&J_E|2QSC1^sk zX;N@_}ra*?2XBGezl>u%`WlBt@>*S-BPj{_X#*74QJ(+ORt3&-L-{b-nG z>!So>HcfDWdOVF8agD~b%$)I>{|x+Q;VnvU60mVt+eG>x6%;0R(s+MtXD_9dlhF<+ zg6_b^=Ik=@K=TlCBIMV}7VpMdmndi`KgNRZX9)qz>uL*Y)fF>QNo7BnX7-JM3kSuV zGNzF4xK(+Q>&1Kwh!5^O0`+D7(|FHNdI zQ=-j7eEh^SPmi{WV`J|gt_{nBmK#3Uyz5K`;5pmYbiFnWzvDn(y2!>%>(U8x!>=1- zf0aQXLc!)C!T{C+Y3ug8zohDkyl9CG#cSs9^a{KimI!1Vs#7*P#-^XJ57Jm6oGHr zdT4U+=s^OFpI0d54EIZz0N0X31ve=Nfe66$wjdAz#-%&I#s3Tqp7uXGN|@S8%##_Q z7Ie*GS_27B5S4;lL25O~qzKb-d45fpDjgh)9<6HiN#sd`Knz066J+v};=3YJ(BlU0 z?^MAeZ-LOE!Lzv5IT9M`(>#0KrkZGPA13T-?kLyI%eVht{vPpl@@R50z1(t!^uYjn z(7_dIp1{O%D5|(6QjH@^9C@d^ro(&xghbOL|rSB9VjSR$*HEwk8q1; zs)N$L4oE_XC3VTV>d$LlcM&@G2BEeR2 zDlmWTeCab%1EJ~>Zq?*im9`iao>$}j@%MgI@X&#tCPL5#Bf2GeI$s_zUgGcKds_Q= zXYP1gjy*J9>x;PtS${_9!dIz;j8}4-8s@zQ0p44aD9g_ffT-Rx&EUo@!es;-$t0a! zg`*S1=6uh#CM#{C#ZNYQoY>hdww~O*soNoRaX!r9TM}@wWtJ$W%XV^=z`xeBSiBge z=h|qzuBVgtoS7LABEIm~qaH|1@d9puR!8fRY%W^VO-AHsb1<|wwZ?y}Ojr!j$#JcC zG2T=Qt?(pgFMZkYXv4~Ldjq_|sH{;f_F$yR`}AW|)0Ym{276LwYehZnC$yu#wx(*l z&)|w3W!rs@&_Lt6VKN6l7o*2ckk-hcD^#RN;d}RNT@}NwC&hQhAMCSa*dFxQS_+gw z^_81^yIiSB;Od~5p}%m}&Gjj3up{grMgwv*1kxdB!YO1@_N_)cGQ|Ov5^HHaO7&BL z)Vjpp4(*FJ(|ixAAIu0&p)Foeg7(d=(uD-}=rwkfoAnYWpe)1?+z}R_pgabBOlhKL`y7$*G9+szyzz%CuiZ-(rUPEysQV<;45 z@%#-FYyV1a2NB65eO5Td&v)2n%Ci<-)vsaJ#S0&5t^{s+_(f;-Zp%0@%&Uqr$p zLmLWH@Xd4h*AVb+l&n@tS$wFpmvOiGwH61^p=)^vVyJYsGTambGkA zHM)?P2{0cVpL$>jbYfbULLRsq%vkWjH}x;hS0waay{g2U-u1G^?IAPVsa|pi9k(dR zS~tMA(DY(HwqJq>sf9g?bjwXkX`DYjH}gM)ahuvpvDw7hw>szQTFEM#VRr)%aw!N{3I{c{-c5_|-etFH`uREGJDidX@Ky?1*lG zzN==R!y%AR9clD(0j+fZr*5kS%ZvB=eZ0NGZ;DW3Ccdr5{T*`|&Vu)DLL?(C5A+W= zC$#a=+;-D`pKw2)B%cZ8FjmG^ZlI)PYFg$z)4+Tr_P=kc;$hRLD@TpZ zeE3evUT_seN03NchiD8)$rUDC79#}!f;*g=yX4<>FE?%0+Ov88RJD2g(_));LkMb8AK%~V zUX#_ao@nGe_|dA{W23ar9+X);w`s)vJ{fZ+f&Wuj{N{wu*%zp#qoe3V>_IH$13IY4 zv9h^?!mR=4{mCV$F|n85ZUQud&+o^^XJ)#LJxh(G0$tG5gNgLTa~IoQv3UvXdXMSL zv$7=Rqi6@E$;9#|s%*x*%RsJNkE`i-(9z~ZE}z4wEdW@G0sf_1mG-E$Dr=08-+kQd zvxvuLSg+PI6MQ{QIq@ler`1;7iSZG29QZ!bk&2HUXjB_4$=FR11Y-Ek6~K>wqT>{i z?e-3iX_3v&iuhcUM+co3le>N*NOnLTZ1|RNKUePG{#Eju+#(WCnq?q`p1oSNpywN1 zG=A?)gu&0nM1A2GRhPulz)sT@>uGfRmc)qsSyDkR8u}+T4wS2|=^&~HSQ5BNz1uz| zF{$`A)vP;CYn4*YARdNjv~|(5{|Sg8UA^?|`qB8QX_8GHUBGSRY#e;?!S}yr{W{bq zDO;;-z*TnK3zKoA|9j}ppB7rxBYh}E*Kl@lFQZHYe(Rt&+qYz4tjnU7DDnk<=l|X< zi*MZi58G(}(;JFw%ufH_z_aFoZa^zpcSJRSnw^%=Km0!qcCSJVSuQVk;Em8*NN=2c zZdxoNBb?<=)Ls9J8ssiP7y-gHd_KdpT+anmAlO0&9w&<<*i8^$2xIf=B#uA-dJmD@ z!ys@;Ovtt`XA(QONN%QN)ax8uUN+F$H$#8(8mJO${rzV>BUZ$nA;9+u(Yp`@@mXB- z`~~it7W_#?c58g>y@NAyT=tMbL9_&w+o9*xPL3vAF0)qc>lt2}3`!9V&G+bsOtOEv znyYP@hJJ2=+kacL)z&zSrH~kWa($tcAu-nRum5Ifh{OieQaGc0KjQBW+K%w54oAr4 z{sIk1TZmmDJ_JAb)Q≠i~w&lqVKE0hJx)pFjD(A@+aDh5y5iCEV4(i)eu~y?Hy( P6Ae+6Rg)=`G7tK1QPR6L literal 0 HcmV?d00001 diff --git a/electronics/desulphator/desulfator-half-bridge.png b/electronics/desulphator/desulfator-half-bridge.png new file mode 100644 index 0000000000000000000000000000000000000000..538cc693ce799995de12cf8cba445c8e8ee84bcd GIT binary patch literal 4751 zcmb_gi(8Uex2Kw!%9*Aq(=^j&@JfXpEHCAysX#^Xf|8b$HA*m|_>MN83gl&)Y7{Ss zc+JvAgt9{O0!&{ZP2&xf%o~l8Fk_;bBI6BGLJxlDKRD;S&$IX1``!E9d%bJ#^{n4- z<%9&LeSgQ0AbaTCz&^73%2^vlf~ z?cz|ojd$PP0&m~wy6oA|5mflA|Hf$67l_>(U7S;#y{FS3>cC3_fu>|!|1i&A*=fd^E{%0AP1SOiq{8_UHOH(D2EA~tFvKu83Guxaf zFItzC@d#`L;T8TI56rlvuKh<*Mp@2uGMRDbYlxZPI9piP)>OMYvUNvY8(aYbeHh^R zhgE$0u#Mq#sZKQJqjInfn13*a2uKu!RFDGf3*hOQTRIzo^TVW z>db3TB>C54)XhJLGyha;A!f`9gE{lG7yeSL>oZVSrn@Rpy)JFaL;h|YWC~h#)Tu~M z#dU49#R64Q*iSJ=eW$d?l*p*6B|aU62|#nJVL!a#-Gwa(@TttEo5zvRmrx z+beVQ_p3OT-_$!eE37jYykEGv-IvmGf!gY^z4gH?Kvu|NMor6zXqH7J2F3WC$Y$Y$EdnzCL?X}0Jl?tKaP43k3yD{1O*0a~( zW?B7ec-XYONtEq|(W-1Tpeh)U)16UNfMj&qmk4@{|a3Z%tD3SRkTS)FLPdGrz+XFEN(S~BG=6{Oe!OjK9liM1d zF5Qdis|7cC(7rz;(wFE+0JiRKDSu%+MRVL^Rm8VRb<-B4Vmzt2VjjtbcmREV8#q~L z3r|qSfBw&`1WS+2xzBw9mK?n|&8j^3DeA=U`FWlCpN7z|;R#Z$pJU^BO=4Q`V;b4& zcyK`Mgllr1(9wqd7FT0VKYtO`uVi;?0@QayXGK|0+*abHktIuZTiZsgXBWRL}+~ZiwT{w$nnPka?Y~-^T#e$j|q8Mn;&lVyy z0A8J*d%@s-5a=n_&H-G~1W|7^KiRFE;fU4vdbx1IlJO}1a7P#QFEkA@eh(W61r%H8 z1F$S%Iy$%R*xw=K_R{%^UgNFcl` zTSK%n1-#Tde53P(1gjX5>{h6}qC)Ty02lBWdaR;!D(1y2y2M9+7Td>4LDK;^MJpUm zlL^TObfIIVRjxoZD|TN}*~z95M&u8KwY9J{o9AD|Yjz?;J8N6|9Jw&)y0a>1divJ8 zR%w$Phs)IRT1keE!_*@nxWH6mI`|5VoVTs_N{-}|3mEfhsfj#TSg*WGCn>liV0al0 ztf;wYa_;`AQo`Kp)scPSZLAyg(SnSfwOSo@dcGiVM!DRD{bacHt}C!ht&U?&t^AX% zm%jo_P|Z$cEwbpBC{*{SSw)s~W=Wx-NpFbtR}iB=L|EOwofdGQM_cvV>-rc!CE}q( zKRW#GU8;T5;aDO$lK^$>PhF4dhu0^v8;M{(F)u@i5-e**FeJswBa9S%nJAE#61 zPn?eT#4^R^J>tsq{)u-5czP<_6RRP&I0yG10{T;n2+B=Sw1fPi4$l*S{T~{;Q(Hgn zfFZ6;Z4rl4GYtNIU;>R|$HIqTwUf_q zRm!}5Q@2xW)LR46bFT>+C$FsRN{_F&kf;jc0^SiN`$q&1GMP>)b-%bS{qP9t5kN04 zu>TGbrtWBPd+IuPyD*PZ28RN)L-&S4uWju@UCj(M$yvq^Q@@k?=)nDN#`7k2r8T__YFQh-3$LlSn{&;p*rBRNt}PMqrw zB(C?T$eT`#MR@gNd_t?{TKrH6MO}V#UIFdu^N5h5vjy=F_1LyFYIVZM>Ys@_r@fx( zEdJ|#-Cam((SYRAH15%d;M1CNVrOPw@;#B$Bv&VCO8GcwS19!6@WqhHpd|1)8sy+) z7-NPE6KS8Wg<;tw+xN~D_d%H1%3lP(L6@b}kN*^;PH#qH6hadD0g0*L*T8jLT!-xo zmLkw<#b3diuL`q6I3LPR>Z;iJ_C|Bq8-5^+99!VzD@v`^^53dNfe{qEcrp=-#Pq+< zksLim`}i_wi0|eCC?xN?gotIXgF{HtQ5OJB2T>O%A&K7dqA5dlzvdV60)N$&OIV zF#$t8l=1oZ^z7DQKJ0z}WU~f{#=?f3=LVVqBNF9!bmOeVR>#q!wv-p)%_548#t9ke z7N|UuLz5h;0WB>-T{sU?Y8K2b!!e#{y7O@8OyzwjFQq^zCXoVN_uAJet*i%GnU6-@HEv%G2Tyq9zpso@_|h3MsDAPcFKBILZ7q>15>@b823J!JqiZcQ z%MFQrctKmOyDtTHvEjhjILsFCmS<3@jdJdq<{F79h$cO1Z_&E*)E62q9jdQ41O;IM zAg)55SskcQ42*5d#Nubf7p(?aDMA>6$Fc|~65xuO)fBP*Wjec+EJv=d&Ftz}F2^sc zEd`QMA);f5TKQOtrIQwxmrFX;rNyQ4n|)}T^-cE<5lfo8LhSRRKkXh29`Xb+=<7G{@m}kueubKu1 zn$gnAC=}5M>R}G9wAf>w9M+qXf^&`B;cU9|>yNj_$!B34MbydC^cx1Q?7=wxGhP~) zOJZj7-L(2!hVM>h%x;JJ+AvRp%~CTKCRygDM$D9(BV2K6UveGMYlbXB9fK0^fTun5 z>UGwV^f5{4{bG&dQIe1~@jup`5O?IbC^9$*4ue^afZQiU9*Y zL>M&WGBt+QEq|;foCs9P9OT6GXBVPpJL#*}zvUK&TD|suQJZqzb#Q}|9F18B&F#!9_Zl*uwL`-mW`I}UUrtPZ^SvK{)Y4Y#Ukg3L^iZvh zhK49w*e*jIG4h+<@mS*6t}d)4dGQ7LLsHnWFl5PxfrkTd3ai_r%B?y#;YxbvfFcY}wSj^RBy0FCiszPWgF-8X| zuF}9oB^f!&y@^YRfp^Tugwr){yI@v(2i4k@zQ`=I@w!u}D=eLRA3S-&f~;|%=hfl! zdYAZYg4D>B7#aHDu#D4INnwlEKnY7oS@Z5&qdA$rUTn5mwKw3J8t*#?*c0V^OL9+U z^U}Jc@=G2N`ukKbnFVyhm6Iz|!2`|JIB%n-m8OHQ$GGc%%7#;TNn!u7RFxqyD7nQT zMICi$`&)Gt6hPrBR-~xK8ys_Hlo!5j;#YIJC2ZJ&Ea1SC^0KGT4K>`}Y!i2y;!Bv5 zkCarQ;^%|rZ*VM_Bf>P95hcdfS}-lihbpWGN4LjK23(ooj`fz8lH;NNrJ47i5EZ)| zNCamPR-ZB{TrMy4WdULq=&??k$4tn1fpocl!WU10)wRcR+l zL7W=r>B))S4a)T# zget2kkD#?M^1)=7>M0nQ*|Uh}xCi&B2`OGHr?6M@7MR=s)Wc4a8Ij4ax_M(1;bKN` z{;hAemmEGP7c88dJ`^;H32s`^JZdX`U{uRAd^Md-f}sCny8(W!IlV$*Q4!bn<5OW* zo9S85(rjmy8cU9emDwDi+gY2E&+M!`z_cc5x080jN+9in@&95*5a%a~V*(7`MiwboyU#7YKt_W15ZOOXO4nUk+-lCOE%gt#S$l(LK6q9x!-7@CQt)ums)P z%j-6)Chp|4J9~27MvR0o!HeXrb&*@ov3xbkm>9`?5DW)3)F&IqchpFda8a+~#~0<$r}Hir5R7ckz9j#icW zmEd39>6L52#>_f_?Nusk^5Of(Kor+(C-O>wIcgb*xY4vX`w12TnT@j7kWHW28t`AsuE5W6q);|BL4;&_9DNW=*%XEE>>D|YENxE2pReLeyJBxj(%P}sZc833@e(CVa_ z^VPh$5&AtPUySI-{AlEhH28``m$=sJo9G9iwBi^(y!Mk!^Dn+RmPeonhS3d zUuzapq#6vUcrC%bU+jNXbWZhE)`+m`O&5!u>Yz= z(GQZVjYiHa(?ZVFd*GrgMyVlG_facQvOFq0N(dYg2UWpABvA?gO!t4E?ih!G`}bSk z{-N6%L(Sru}0kI{XsCyfMgeSDI+GhIFQ!`V?3#MZgceo9p_w8YtW zFgI^G*aY6@A)>F^X#mLflgvJI!?TV3p3FEE4DUW;BaKD8yg%($DmAl-SpF%tqA1m= z=MXly&F8~fqlw*>Do;g%Gv+mt)c9fiHqy&b+x3&n{L_h)va|P6&dzMc2T*ZGZ0Cwk z%MdO{67Cc_zp<(&r2dYTpYrYa>c$j0nwHM(&$M^|L&jvMY(*&V%d2}_H2gbu*Hp{e z_RtprdrsB1!X|Jr&Ve@DX-3KdwAp^rK7%QJFf0g|U3?_98Q*i=5Y2^bn5PO6jC zQX*odGez)i-ws^&C$GPMz9F(7XNy@>OfJbte~s)idz+;X;Fm^3Qq4}s&}kR^AIH^B z6^Z}=ad&#B`h`nY4gt>d@KT1aF;S37N#bUA=V%SAqMkDgk0k$+em1;68e3QraT!51 zzaZ{f-tJPp%V*JjkBk8pgHm1I>y)+Y!SHp(G8jrB(AhuG;ZK!>-HENYUPl{PPBwcA z?q4py;Dy9xrd4E4r@OTW4Tg_A>N_+*P*a*X-Wh&Nxn?wskQv-07cfr1>k746;aU{y z!oJ=aKIPZ>9#RuPA`&IArP!_#sc}CEC|(XZ_h>{ZXLF^Ge_tI?nk%zrY(u#fLn`eQ z1HrxGr6LB-->X%$g}mwGt6LkLI+c;dCVRW9}I zZ7>>74gCHsuj9({K%<1UO)OH!sA- zVWm@gs&MeQ^&w*cQ#vVpr1V_O>0)`%3<-yc$vBS_PVVRci-*k-80xU$yV(8}87!Ct zo-e-<)%1J4pXnRxz3w-JZclQ=?evfce~Oo%w(`}r+O)$^R_TQFGdO39)L_`zuveZLiRbm8MdR+P@S8896vR7@E*x*n&Ek-iMW)kM^l zBtM}ZaFTGD`o?E_wPn1;nPjIoo8#uYqP&e*Zuzr%ec}jV)g?;tvp!*RxFnE#B3@79 z7&GH3Jv9n+Rd3f?Z&4=S+1j8#I<07Qj(otkZqS$SZ{&T>NK|>B?Y?lhC2}kbc`^GD zt;X5xt*c2|3kj?hmh!G97H%Z@5n0DEr1I!lebiq&Jqux-T^rT=@DZun%21g3A(^a) zNGYsr0&_mHIKQ*!Q0M?hsQUFy$sj4L_a+$FZ>JCZG|Vj9A-KWqh+PjDVRf?kvtMJu z`T}}pX9vsD|C#)nscqwNTr87pVN`}gmpC;^3+5~Xg0 zc1ZxH;w$-c!KWbSUw^?T?fdEJ#)Q)JPr3=O8INQ7Qf+bU zD))YEJF0s-O1|87OzRkWPs{LVDLrz~lUciLY|o=u;pT!|qtscARdkxxY23MsBitVX zl#==tdo}R$m_&-R9;)ses_ac*hvD62*9C>bjZ+Wunp_Wm z`ZApYt6?AWpvYv+JSP&e7@TaA_6(OAT6?~rqrRnM;PT#xYq{_$%(XD87QN;jZl>=L zpAr=};#0s38}VQ~U)Z4W+{hzY>ipw#ftV4WVBa2Y*|29js;(jsS1DL=4QyXF@ofvT zeO8~j%8*6X6;PPcx>xd>BXTCc))nh48HI-LD;4otahdC+t9t0H>)upL<`r%6DF{)U zfU}yPc)70*1c_G$x0zT9&NjIEHiGd~r4a8!@o;=-zzLcfmbs?ULt&x(AG!`{M6tG1 zYNH#@TQ}I`b|hrcO@h3f9fnHUZ{?-bFI9h_m}9KcqHVYbl51@+os>SyC;h&&3%Qvz zdU)WR{*hC}VuZonktRxxNQXwR9P(eE8C zwK2q9D5;-Y7mhbS;i@8WB6Dp`8m^-DD$~I8ku0Oy&SdZ%nK-YTih6Hz8g8$d#{7LfTBEb8k%cwm9PcJxys;{n4?(bGi7hTQAB|VYPw{$iKAXe5XN;b&uPKN*Y|I@?VZW+j+U=n6(J`DRnI1QL zVyDd6->9tar3gA+u(p`olS@C| zv&JV(Y_(FuM>4~yFORk5fPjoxyQN4gq+i`}9buk-~1vEHu%H#D$P$!U+#ASd`>e@LdDGb&%SS3}+rkM-rN! zOMorI;SRlOoEQ5p)8B1swLS;>fkz~u7Wh`SWTZO*a zm+WhWkd$RCV|YHk&+|Y0p6B(<>-Cw>y`Rsy_ngmJ-sjx&1Y@etg5*I009Y;?TrvlM z4h;Y-fRO=uqV#0)9(1F}XB_O6DohKbN75RVLPjh9gAJ=reXqX6L{cSWgo=FBySPcs8KGD1{` zpxMF=%`|7*UbPf@vQtJXHJLbL&p_(*u&mtnLLIGnZH;bYgBTzKI$D>rg;_1$GqkS- zt*`)q<*_ghdwJ7s{G;#T=6t)}>W^Z!3w1PUo3T;95(+T@sIKiVigGZ{i)}|n(~d*C z_!mbA*%c@;SY$zCAw`%Bvnc~ejvXE^?9x0Vx;2tYEc>w8>%K}XVd1jX6z z^a&2IPGfo^FA)!JYH75NX4l*46eyh)K3pg38`QAnqQ<^GxL-- zY2?Y7?jNUZ0Er>YF$9jVAhkKV%-hlZ{rg=%3r^7WxX02u#CY5o;v7as;$!My#D|)) z8e!qejA+&K^Sc~G%mzn5(INZ1Xx+m< z+p}iw^>lt&=4yRtv>8fR05A(;nq?W$7YbB*iUx{Rx;y54{KlXDk-20aHXYE!egw>) z!ZepFP5v^@`z41<_sI}+aOiY%dfR>?7mVZ`U0BZ!UEOMLe>)w?G@{Yscy?1p=FNo_ zo`wRA&|f0$y{Bdz1Hd@h*Ogl*lklBQ;&wkX9iGp9eZSg|OGou*mY~Dug2+O1u)K(3 zanf#mHq7T8M+Z5dqj0@;t7Q%gzHH$Al^O6j)#r_t;L&0e5>X51;Lpi8c6Zf6Ex%FZ zV(vZn)Aha$-MwTUV3VdQXIUnV0l9~a&^$ghTa(p4_6DoXP_wX^bHXe@nhCASp3eG$ zm;95bM1}=9BAx}CQ3!8sGm+;jD%}Zk8nOjR#;$;vfGros#ajQc>v2V3gtA~*+wfLa zW92)tXNlY;AX!KbBwZnmq}ECMx>Ui5FM9#$Xn3pXpuXfhl4}#=PzC4Kb_GhTEspy0 z%v{=t-qUgbbI$5l=gC>^0>XE89>BeP)o^iqjJIW@?bUhz?qM*0ug<14L;xpflI0*^ z6PT70y%E}JL>eitjvTUu%_c8^jyoZR*G%)D_j;cSN9YfJJt$YV$nq~n(m9nDOj3Ac z9zpTZhJPJxMln5rcN~{s&E#i|iaRvz##<%5G{h$X zHj)FyiMrawH&6g;>pIY*2rLChq9z7Yc0E5JfIEbK$YCxqpDiXXAYnoRA|t(+#Nb#c zQl3Gi+HowLb?r##6@(Wey(L*fTPLAdJp(~ny` z?puAZgy?vn-EqexMqbSaQpKUyOYiq}K4|||0WvQjS1fQ0S%UbKl#dI?0+}Ri%iXHT zhqs$$R9gC@I2Le`(G%|Rll*{Nn}kg%>WdLfxWE}*$1FL&z{;6>_b8wWS&>wAbaV~# zv}Kzb9YYp{8mm@dSdFRT2AE8)Y*u*SSN$;Pql;_LEC(NKY}k-Ol`=9=6ms--fAtXp zo(+;KsKuHViZA!4?Tg*Aaw$KV;b~V%^qLCTfHtDiqZINzFJ-&G`5@A^=M(l}A*95v zbJ**qk=r<3b2SrM^RZ^1mywHA(UmwjL6#onKi8wNZy=hxF+3frF?~(i=PmroEXO&F zuRH2^*Cw+&dd+j(wFCib*dz#M^wIuKdS}Pb80p*-{1MC`n;wN_3low)-{n@~orpr& z&SozM0t~wZ!4%$VR1@{YMz+znmMC_T@dS{o)8v2p;+@b=pY+-7*$1zk1u4Daj{04k z4D-7-U*xBM7Q`EC4X|PyPtDKIxfKYyvyZ)2Y;_Wv55hwbmlSK& zJbNOG`-&j#c@LZbrQjoHFrR*rGx^sWDZ3|tH*X7^RWFm+-TNUZ0kO?LeH_S!BThzW z$|mHXN4nt%&F5H{>N!lOdji7$x|Q|PU@h=SsMJ1mTSyyL!N<{lN#Q^L8*-kw$(NxL zsR87C_PB|w^(pTil{BBL7f9$y%z#*ov2;Lromyy}{B&9(DwE@@u8M6j4qs+&I6XAQ zNHmmRTZ8oKvRbC{N{x%qS+_+8r}~}_Gf4vgt1WDD`KrctjwFIQv-~8 z)4?)6uwu8Pv|q2SoM1A&#GLiu?FwF;imkg8A(vVCah8BJ6e%(UQH{w66jmzylRxCt zY7x;6&`fN|)Z@848t_F#-z%;I^Lf%zP~Pp;E~t(3hFxk14Z5=ukZxCPlcx>4#mFg} zrwg-irSR3A>U(bzS_!4&OsxW9lsC-S)1$X{RieXEgur8OFmPTHNqins4}CHSVH2Bo z_yH$A8|X&+XxiXHqWfqXHyL+w|+mbO^x((md?5I(wv{PSj`p?7mmwh1cIMtcr?>bCd7 zwC*wu)a~bvu39$LOqy!6z=?6K^|75lY5ifazHzP~&y%@Xr!<8>=% z5@I!d<8E<}lG@-(nG=?;l1_SBNB0O2zeu{v?&e*bRM9mgdGn!W2tIrV`HzlR+(ZAF zeGp{n zF+1BHVK?jITMrE&kDOWDF%B!wdmoSJC~t#j=IuK>cj>;uz>H1o&847{=mhecQidR# zGtXc(L@&wFRiUo!nm+Dj_zQQiUdkX*m{>Awde1@SeJg7&P(l;fIVG!mT7qS8q1uH18GJ9YB;3mz$m$aw* z`4W(eOo(hnRxe1`4-BvmDxHQkvxOs?>o7ztynmvsp;k7Nje-8D!FFHx|^waeLFD0fJxC&n6IMnYeUH zI~*?25wak?BjivUimW=G+5@gB?1zd|ddUVOmT(-iT5QCay65#gW^#W-=sW#)# zW9I?44z%q*lKHsw3pUJ5oI0yV2xp;K1Gq;qvRQC&7qT3OW2v{GB_Pp5&rexALP02( z3vC^lDrUpT2_&F%g$PFO-{E1Z8!UlRJd|$35t=P+QHR9jLtv-e?0LMfGSrs030l)IGw^pa`*z=xY~Qv98yp_ZhI_cwy+0I@CU`Z5Ed z95NsPAE5scZphh164_CNxPK6ghn!B7L#MhAkb3{)XS@Fm=ga?_GL)_U{#_AZ>=$e( zs-~{qy|0*jU%)Bj0tG+4rf5hjjvt$EqEV(t5A+H%zM73sgs87hi~OzZpI_gPJErlr zgsfaVXR@hrSRBPusnnr!Uj>3y(p6T=UiB;twa?#OeRmW9k@n@J5cHp~S0I<$->cia zyxHzIX-~VTre^Yf6adZ3cKI7VgAPk(;njW7OI~w&O0m}fX!f^y51nEooFBH%`7GKv z$+pe;$Z|s64*%aK>rr=*{m)!@3i5Qm4hIk!5Y5RC_V>>0EY)|7*LV#Km)X1EX&qzsywyk(W_B{wl%xE``{YR4=J4xtvj;x!?+8_TQJUGe zcW|3q#v0b#N4$Gs3KF<1`hYCGJM=19{`$;l_`KyNSwfz332m#DzaKn|4_-T)yZ2~} z>~u76Pg3C8<}0!)C&-lWnr<{X*r$3eui)Y&P6mE*ENEQR*Kz+~Q$8utO^x1a49EZa zzEIJQNi@Ix*T36t`eO5tl2Er9xg>FWF2(+=SoNe#;8 ze7~Z}9ccyx)HaZpRCaU_8&v9Jk7+PP-BIbZ6aj>1lPZpXarED)PP?X_s#}F#TO#$K zer2aip5HSjm)b2NzwsA0=Kj8sii2E;Cm_f`9R;PNo{XmA1}2hGI06`Vst|or zO&QmrZj6G#thH36xVI&=T+ec|=mXjKWv<8Ak$ETsfOZV;;)SIn15k2M_yQkuOrCF@m%6}pp&P@Qq|nb{d)GK8!S$eaqd44)HM!xx#*fY6_IFkU_gbK?4MDPqvoyXQo#$+MnaYuU#=uqJF(g;@SqpAayN+v;Rm19ter1a@6*LS=!Gofm}g5=Yc98g};x8u|oUvd?Ikxs=a)HXE@ zsI&cndSb+nelCA}tOm48{N_~MQHzFu8}MU!bPt+rc{zVPtHA9-2vPbWQsL(1JiPht z6n{!bPod(N3nG6o$Rwr+UqoA2bSp0jHyJk}xpv1Ct1P~I_ikgZqlX&e&w(60Lt{R^ zBi&b&ZpW$>+NX|kjcTA$muY$Z64xv$4vH6l@BgOy7Q}y(&s~Nu?uV3j<%roxi{^GN zNCC8C^FB^pGwxoHWH=>r?B5vIUvax+{>Ur$M{9JiUAy7ywRqt&rQ{ULg7|9)pC#dT`xo*w7QKv_sdcq64S z_D=q5N;wEcJF2cmecrl-`drnc6J)UXieV#wA{bw?%^owv zxB1MD-%P1tukKyba%01ewS3;x#_~nvYqyLkitGLlyM-e;Mzp1@i^J+Y`eX~9n(13( z3$yL@FQaoxB3-Mlj~hhM-1x3jEe%VAPvlJ%|Ii=5crE7--)8teE0Psmve+b2)o&>7hgGMJ7#;`mj$D5T*L%$lDn=K@9 zp`v(4RnOO!)LRE(LJxHtuxjc39l}b9+66}KDW%=t@;!dK(>J!~w=a$tNYbBO85s1) zs7`z$s#a4+bKzA%o?an04m}iu;wLK*b74T8RnO;ADFxLLM4jl&e{x!TH7|)X_OLNGr76jFVGhX}#sTXp|2R-!5+Y zUUPi*4N|vyxZI^29St9;C&F{kt#F$Ric#q z?62-8Rz8{)3rI-uiavfB-+#k3xOgTYC)-Z;CdxKZ|Qs6?}wGE8aI_|KZQ(APU4M3 z8@5xIC`tV_?d|QO2W`hzoqplk8duGyA1MfgP`#RH0bHv(X-AtTLmFvYSzY9vsj-QK w406a&29z^^$7=|CK?H=fP!s5!&Toc!^L#ADS>sQLz%bxkjoYGcFy3-aAIU;qFB literal 0 HcmV?d00001 diff --git a/electronics/desulphator/desulfator.circuit b/electronics/desulphator/desulfator.circuit new file mode 100644 index 0000000..d5e4d06 --- /dev/null +++ b/electronics/desulphator/desulfator.circuit @@ -0,0 +1,21 @@ +$ 1 5.0E-6 6.724437240923179 30 5.0 43 +d 320 240 368 192 1 0.805904783 +w 368 192 592 192 0 +w 368 288 592 288 0 +w 592 288 688 288 0 +c 128 224 240 224 0 1.2E-5 -180.43241414062757 +w 592 192 688 192 0 +d 368 288 320 240 1 0.805904783 +r 688 192 688 288 0 100.0 +d 416 240 368 192 1 0.805904783 +d 368 288 416 240 1 0.805904783 +w 496 240 416 240 0 +S 240 224 288 224 0 1 false 0 +w 320 240 288 240 0 +w 288 208 288 192 0 +w 128 128 496 128 0 +v 128 224 128 128 0 1 60.0 230.0 0.0 0.0 0.5 +w 288 192 368 192 0 +w 496 240 496 128 0 +o 7 64 0 35 320.0 3.2 0 -1 + diff --git a/electronics/desulphator/desulfator.png b/electronics/desulphator/desulfator.png new file mode 100644 index 0000000000000000000000000000000000000000..4a7bc41e4c037ed9a28ebcb94710f26abe444d1a GIT binary patch literal 3171 zcmdT`|3A~)A3xSyrX(AQP?2win@g_EmzL&A%M!XZw3HiCBpc25(H9g`_apkUP3E)Y zTT8;FmG4n$8fsWFUpKVmd${BC_WAAeAKcG*JkINUd7blmz0NtW^L)M1JP59;Dmp3v z09ChxI8OjzOsGGm{1Ze27t`yY22OT%^HzpRobn&3&=?VZkVpn#p89E z*=WS+G6Fz(wl-f00PHf(1b~5mCP~nBqy-BXCIkn7|CMEtT)G2j-yMU4?S3=XOvgmC zbzRMeG@myg{s*1F(v0&>x_@|fd12B#Q$c(}xN!cE83(dmd>f!`)S12po-|mN8^zhT zh|YJd|6#sU=%7TCrxAkj6#_K9X|Le!P%PMVU~NG<|FrI?a`WeYHJS`(@|;tXFEoT5 zrNBT_(JqYPkOvj&6&hm}Qk@47U{n5m=~tJQhuv_{%Do|V*acTK1z7!kbp1Lco?lx% zcXcWm1-9o724RGxPrnSdMgj22%n1`q9&xm_kbu060_1 z8vA;{I>oj8QG1DFk-R6M*$iOQ&EHMnx9v;dQ(sfks`E@u6#%__Y5031_G`{ZiPNh@ zem7&X(--+5yC32lYZFYgCzH9Tml9r)IsmIgO{RRmv;6qBo0(yWoZLCxpI=U@Kd4?% zRRrP}?n+2^SqeIG**lIt+5ha61bJIB0|)*-R_`Xb7cv?+$1Rb004!>3W2#S84eQ7T zQNaJjU_LpR+e64hfLp?GFJqaRBu$jV+M^F>vZDKAhs~Ve*Ng!+;UiA+Ierg*RU3dH zZ+FTgjjh+#E|YLfaL@Cwt_lIrPUK{DEZlr}e0z)0?vwM#w|jXQ;-OBhdk;hZ#{;~# zGR;a~)ZE&Q+`ss`z6ZsU7+~@&j=2V1#P7M`ZZNm)zWL0h<-0uj{3TKJPS6rEmife( zO%5jDRNUtcFhX@ENKE73_3cHLkNoO|XPe)Y8E#8mW0OmF^vFg{Y&=m|Do;wxRgBNCC2mNJdjRZdoXott^uK`nkDQm%YVzZ1n*y==OE| zfILx6O;_hjztUql2Hk5n`+9*H?*M{KFzaW7jc0l`6!f$Qq~>t1=l#l)nL<*yowtf2&A7yzi%}LrNp^ZfTN@IV@E`k2FQR?a&L&HhI7MTd~be}7C zUHP1eSFUw=^z5DF(d#3B3gLAzY!o#FpQWeC@~TG%{pLl3HI?x;#+BRFJZktR7`w?H z_Q6!a)o$h7BZ$4a%=<~!tfP6s^C*9N0V1w<0(nQEFE6nO*aIoZ7>N|$EF}B#<4N?E z3+i)nMU%RyKupp_+^0mI7KlzI_hf11-@bH}ighS+~ z9}&+nSQ2DWQS*Sz zKHfa^SCj)5FWPR+w8@*O>5A+VGzP!z#k+k9`MbA`3gc`cv8zU90wd1wziJHR=`!xV zMB~ZHL~URCm9oNao0;nO#k-fEN2Il)S4lq6rF$_$^BZ21Tms!!o!Tg#FW-$!Tm14q z?#Ztv&wTDL#?9$KGF?MX+l%bqJ29Vg)!I*Am|qPYC9{mmV=OKx=P;0Oomh3G(Z7>? zhoTNrI&`P1>1T%}S4}o?KDO$jm^S!0zKNYgorSfukQJkI;xXq~@lLrY#=GtoRO+Yk z$`h0boxvIV`fB=jcx6p(-?p&JzG#<@yCac=mS@YSlF3`~GVI2o&=&7M=ogD4V+}Fr zQtOriaoYhZtlA>u!~IJ8Y$5t(xy1`%P1&wHoT%-dc+oj)=J&*)yNenOE69FyMU0A( z0AX1Ax3jT4tuGB}a7r2h%L$Jh$^1 zLo%itHdzp*^`K_5hs?e^c2z5cvB*#v$rQPk4TZju%bXThE}xXhl>&)NDWAF|-m9xa zA9#M2Y8Nw&F{d8|P4%=JG+1x6fyKn1sEoVuZz};}z2nkNB*?~eMq^~12-O&krtHfL z19GJZ;e9$b&^3U@w|b zeEXr})qQeL0qV!#M<-UPVs{VeXOCCESM7y9ikny2#|RozHU~Rv*O^`*h3m&Wx4Ll7 z(2lYuDu|~Sa&9>wT8|nW5+LmODg5gNu9j)LT=wN_l%z$l4va^{*Vie^-#uJ4lZQKca6|KR*4;E+j)N|_X z^e?Y3uffKL)DDU?-c8hLRU1sSp76D|UX)@D@G>AGl9ZfWt__mjO&;CX;%Q$O#YX)Y zJsKW{5I6=Z8zx@YCeT97x$AP-4I%CRTP;(5Uc&;g=lx-mP2;H^s1z`w&a5-QCx2`G zfntHP>P&1Zl6~>pmP1>1V<&JXo7h?TJVhg{HUx0p<+parW}S`F6@UQoN0(BN*#KI? z%MWx`nBRd(GJic4MWGTD3+mu@bSeCI?Vmm~h)s@-YjF7;Zg*V@w~f}k6q_RX(@v7qNH*(3wL{BeSxS)EZ9^s)SKb-6)7>cLi4GU_3OT&4}h-!&K@ z62-(?UAO9q?91U6wg8Vz{Gr0wMN^udsv%h#QT^2cNeh@QwzrVy$%mv0piMKnFAAK2 zE*ETPj6^=P%N8p1LhfbC_x6L7)!bW4EgAQq{HG!9|Axrd2Q+=-Osd5}$qfK*E(F{Y IXaD5?0MMwg4FCWD literal 0 HcmV?d00001 diff --git a/electronics/desulphator/desulfator2.circuit b/electronics/desulphator/desulfator2.circuit new file mode 100644 index 0000000..d73d3b8 --- /dev/null +++ b/electronics/desulphator/desulfator2.circuit @@ -0,0 +1,28 @@ +$ 1 4.9999999999999996E-6 4.621633621589249 30 230.0 43 +d 320 288 368 240 1 0.805904783 +w 368 336 592 336 0 +w 592 336 688 336 0 +c 128 272 240 272 0 1.2E-5 8.856775841880328 +d 368 336 320 288 1 0.805904783 +r 688 240 688 336 0 0.5 +d 416 288 368 240 1 0.805904783 +d 368 336 416 288 1 0.805904783 +w 496 288 416 288 0 +S 240 272 288 272 0 0 false 0 +w 320 288 288 288 0 +w 288 256 288 240 0 +v 128 272 128 176 0 1 60.0 320.0 0.0 0.0 0.5 +w 288 240 368 240 0 +w 496 288 496 176 0 +w 128 176 224 176 0 +w 400 176 496 176 0 +S 512 224 592 224 0 0 false 0 +w 368 240 512 224 0 +177 608 208 688 192 0 3.197442310920451E-14 3.197442310920451E-14 0.01 0.0082 50.0 +z 672 176 608 208 1 0.805904783 220.0 +w 608 208 592 208 0 +w 688 240 592 240 0 +w 688 240 688 208 0 +w 224 176 400 176 0 +o 5 32 0 35 3.8272525864510487 4.898883310657343 0 -1 + diff --git a/electronics/1bit-adc.png b/electronics/old/1bit-adc.png similarity index 100% rename from electronics/1bit-adc.png rename to electronics/old/1bit-adc.png diff --git a/electronics/1bit-adc.sch b/electronics/old/1bit-adc.sch similarity index 100% rename from electronics/1bit-adc.sch rename to electronics/old/1bit-adc.sch diff --git a/electronics/old/555_temperature_controller.circuit b/electronics/old/555_temperature_controller.circuit new file mode 100644 index 0000000..d7420af --- /dev/null +++ b/electronics/old/555_temperature_controller.circuit @@ -0,0 +1,40 @@ +$ 1 5.0E-6 5.023272298708815 64 7.0 50 +w 592 192 560 192 0 +w 560 288 592 288 0 +w 560 128 656 128 0 +R 560 128 496 128 0 0 40.0 10.0 0.0 0.0 0.5 +O 720 224 784 224 0 +165 592 160 608 160 2 10.0 +w 656 128 720 128 0 +p 656 320 736 320 0 +g 736 320 784 320 0 +g 496 224 464 192 0 +p 496 224 560 256 0 +w 720 128 720 192 0 +g 560 192 560 208 0 +w 560 256 560 288 0 +w 592 192 592 208 0 +w 592 208 592 256 0 +w 560 128 560 64 0 +w 560 64 400 64 0 +w 400 304 400 368 0 +g 512 464 512 480 0 +174 464 416 400 400 0 500000.0 0.47030000000000005 Temperature set +w 576 320 656 320 0 +w 400 304 400 64 0 +r 480 320 480 368 0 500000.0 +w 400 368 400 384 0 +g 480 368 480 384 0 +w 480 320 560 288 0 +w 576 320 512 416 0 +r 512 416 512 464 0 500000.0 +w 464 416 512 416 0 +w 432 400 432 384 0 +w 432 384 400 384 0 +174 416 320 480 320 0 500000.0 0.48020000000000007 Thermistor +w 448 304 448 288 0 +w 400 304 400 288 0 +w 400 288 448 288 0 +o 4 32 0 42 10.0 9.765625E-5 0 -1 +o 7 64 0 34 10.0 9.765625E-5 1 -1 +o 10 64 0 34 20.0 9.765625E-5 2 -1 diff --git a/electronics/old/555_voltage_comparator.circuit b/electronics/old/555_voltage_comparator.circuit new file mode 100644 index 0000000..8074db5 --- /dev/null +++ b/electronics/old/555_voltage_comparator.circuit @@ -0,0 +1,25 @@ +$ 1 5.0E-6 5.023272298708815 64 7.0 50 +w 304 144 272 144 0 +w 272 240 304 240 0 +g 272 304 272 320 0 +w 272 80 368 80 0 +R 272 80 208 80 0 0 40.0 10.0 0.0 0.0 0.5 +O 432 176 496 176 0 +165 304 112 320 112 2 10.0 +w 368 80 432 80 0 +p 368 272 448 272 0 +g 448 272 496 272 0 +g 208 176 176 144 0 +p 208 176 272 208 0 +w 432 80 432 144 0 +g 272 144 272 160 0 +w 272 208 272 240 0 +172 368 272 368 368 0 6 2.7 5.0 0.0 0.0 0.5 Voltage +172 272 240 160 240 0 6 0.4 5.0 0.0 0.0 0.5 Voltage +w 304 144 304 160 0 +w 304 160 304 208 0 +o 5 32 0 42 10.0 9.765625E-5 0 -1 +o 8 64 0 34 5.0 9.765625E-5 1 -1 +o 11 64 0 34 2.5 9.765625E-5 2 -1 + + diff --git a/electronics/apc-0.1b.png b/electronics/old/apc-0.1b.png similarity index 100% rename from electronics/apc-0.1b.png rename to electronics/old/apc-0.1b.png diff --git a/electronics/apc.pcb b/electronics/old/apc.pcb similarity index 100% rename from electronics/apc.pcb rename to electronics/old/apc.pcb diff --git a/electronics/apc.png b/electronics/old/apc.png similarity index 100% rename from electronics/apc.png rename to electronics/old/apc.png diff --git a/electronics/darlington_op_amp.png b/electronics/old/darlington_amp.png similarity index 100% rename from electronics/darlington_op_amp.png rename to electronics/old/darlington_amp.png diff --git a/electronics/darlington_op_amp.sch b/electronics/old/darlington_amp.sch similarity index 100% rename from electronics/darlington_op_amp.sch rename to electronics/old/darlington_amp.sch diff --git a/electronics/devastator.sch b/electronics/old/devastator.sch similarity index 100% rename from electronics/devastator.sch rename to electronics/old/devastator.sch diff --git a/electronics/passive-gigabit-POE.png b/electronics/old/passive-gigabit-PoE.png similarity index 100% rename from electronics/passive-gigabit-POE.png rename to electronics/old/passive-gigabit-PoE.png diff --git a/electronics/passive-gigabit-POE.sch b/electronics/old/passive-gigabit-PoE.sch similarity index 100% rename from electronics/passive-gigabit-POE.sch rename to electronics/old/passive-gigabit-PoE.sch diff --git a/electronics/stripboard.pcb b/electronics/old/stripboard.pcb similarity index 100% rename from electronics/stripboard.pcb rename to electronics/old/stripboard.pcb diff --git a/electronics/smd_blinker/blinker-fill.png b/electronics/smd_blinker/blinker-fill.png new file mode 100644 index 0000000000000000000000000000000000000000..58472ede539d707ec40187b526dd63265880c22f GIT binary patch literal 3712 zcmd5&I3&WE}djqH1l4%+gCBHTH2Gwb@-JtWt!~`|uUA-Acb8Iabh<`M;%(X>|mDI=*Z%t?d=-MoztepYZfr$*9-<`T@>Lfw*!rBX^F2g# zmxJScJfuyYV(Ccg53~w*2Ro4$zIzv@*vjX>El%+qnU3b5>#}}n?59N9AJxR(0bwWo zN+v;o!Z@t`gF9~DeJHDBiB8{S5`)p1Q>uWL@(YFztN zS|EcZzD}<(qCAdKxze9suw=4OlVJFdC4~X1KA1~!U}uS2s$LBy|1EIS-*#4(BBMSd z#j}^w#EpSz(RLPA=o@YHIq(Gz-~-CL%Fd;zkvWD7d=d$^nIV|N3r!g4!RJfaX^mT4 zB|#72f@fLZMsINwe3_LZeZ?Z&*^PZ zM$=8bOR@D5WhfA3DgsI|cm5Hg>$ByM&NZ6~-jQZ|Ev-q)#g-EM-5;?g93F)QzO4=f zgm9fRhmU!R>j$g~w#)+oxdPjgoD4xlS$Df7jPvGvAfd+--w@%^)sYY{AVf_HO5gLu zwYj=OSbdhGJbY51Pav5`ju^F z-Z@wwTWyY4cF~cAjJAD2NjRCTvH-ELPVGyV9m&Qr*T@wrKJ+6`^9Dz~Bgci`+%jE_ z#U!-YT0W-tt)7S)8IykQ>LvA9aZhK@GaoyR*X4aBf+p0QD@-13Md}08zJTjY{teui zV{Ja=xn)e!-~h&mCu~rEPnNfdbcQ_T2DA@xVzUBe3`e}bTimq;59yKmU1g^g&x_J^ z;&&hY@j_{7z7Hzqs1y&78$jI6Ts3?X><92fRz*_QuMoMhM}lo}Yhy~)HP;}URVd2`&661R|sEJEzcKgJY zW2>2@{t<$oj4jx8m0i_?8;Eg(e5fnNQ#DTG0v%`4h2&9b=_{T)^yk@ge>H9^6+U8X zj;WZ=Pr=?FU?_ivm*76i1029SsvV8&q;u`v_v!m&6J&Vkl@0uy+ELz#PbuFZ^`JF? z|CA-IJxF={P$HG-P=elLf5hT?Q3Qc4drCxqv%!BG#5@}-9dM;kpp$W%ywK=pl$U=C zb;$%@0M|nc&c%HUDhHtv(Bs2bS|C%u>gRqI7$(V0@tU7K9F0T0{v^!RRQ`Zy?rTiO zuaOO#E4~vSED{#YMMbUef)!}jc+oilw|K?g*d4hsZjx4666VVfXfx&pLz!;`#n9X+ zwE?x^$caI6NEgY*VjD|s4`_^>>z8VR4?h@q2Nf)^uwy*)2BKW9%j1mmD#WSK7|;sLCJ z5TD3M<%+G-^*KhKO=Eb!D*2Fnv_Uw)d@{oc=X*RLy-lE)XW377W z9m2o}eJU8YzBp3s-hI71U0{_^AKT0^jL3h^tLa?mDgC~~?VX-k8+hRsX^(Y9yzu1v zgWyI`kb);yfDdD6XGqjdHkB& z_0da9z{!1yc!Ad(QM7jYP>pdP6*okHIrJ}$bovLl9WooV?7Vud;fe&V0epxj1sw0c zo79)W(c+Oq4{7OiNr2?kg;t~5!;3_sAr-^~ZdVz!K-Zwg#M|A}1zlL0`egN$)y4Z4Z=fQ9 zwRw~|Of*no$!`Y@FP)$bPp$4@_u|kiB%_{VW@+mzkqbcEmaKY?eQMt$F0~@^43>A@ z9*1{9L&XE>9-iX9l|G8>x!?E>x@@!tE}*n60S(HrOO#RMer-#WUgf3o516k(g!bZ@ zmU5e*ZR?-L1ZCf;OBzfT-5Hp5`54h4d+$r|KI$TuX!UW;9<29}jI}p2(F*j8%xKyP zn?98F<9nhy-61hCze+y1GS8FTD7-LK9HHNh|MX%TRpU5OHChuF6xP-5M29+xrlX+1 zr#S&+n$gGRu&M+&+lX}eG*H>+?nlL5!jJj+Uum$by6ZOSt&`v$f;W80*lbU|FSXHr z6nIpXO;ln}!x?%Brs~kq9W-d=*Jc-rlWo?RVTS}tJX@WYe1oiJRG!kX@f+rneIZ48NQrN`lkOb%_IR{ z0XL;=|KBAdop)@`P;0CNw%lNAnJUSJXp24{0oC LcgA`8#|M4^Xya7F literal 0 HcmV?d00001 diff --git a/electronics/smd_blinker/blinker-pcb/blinker_etch_copper_bottom.pdf b/electronics/smd_blinker/blinker-pcb/blinker_etch_copper_bottom.pdf new file mode 100644 index 0000000000000000000000000000000000000000..5c46d4a023120f755685eea4b1a0e86b6b07c83c GIT binary patch literal 4312 zcmZWt2Uru?77n6|Mky+&D9EVvl8}TJsv3%P0R^RQ2%*LVCZPo>3L1)lMgb{;bOiws zX@UqMBBH45qS8B|Bhm%*O<3FRn|!%*&VTQz_s)FzBX&|-R}O_xfTI9;fa2&5S67E4 zPr1=ZL_qTEHz_z02NLl#3iw?+2~wQA3B(N*W(K3d0GI*{0aJm#ijZt83B$o`r636n zlZT<84*)ZPQD7c0Hy9q0agYqcJU2!>WbFn?WC+JYqZ16dfdddqgHa)r3iF0JLZcfr z$F>Jy{_F^HkV1rDTd5!Exlzd4cp8+kwkld4r68|>Qb3~>F!CrVxTYqYNOocuhW@=k ze@`NS#Nlap62%3MJc)N9QUMIK!2CA@h5s|q@uTTk(4a_gq{T6S270pvV?Z7ukA@=& zcmRcvhZ=V5pZhU=3&0sqqHe6gQ#}Br3587jm5<_g_cl;?8jT2&0i-t3$BjTV*E??a zBWdN|a)1KJBd}8VNPHoi6E|Fa*NF>v~SBk_@$TUc$0xHmQ zb2!qRNTqm#1gI4#`G1gOWHJSsN3jnFw7{6?^@dIqw^C%1kK%o`werwr=-H%g* zqmU?|g(sds1lWh_B+kMUU>6NJVvE13-Oycr0D2(apY0O)v)dcydKP$qy(5tIKYO4I zu$!~7VLv+v#bI0OLWQx7*zy@uM|UFOhx)5U8#S?0wE=#1o85;W*&7kbE;LsF`%g$+ zHxjf(08*C(?Y=gVKyf0ny;Et>({T>&m(rGO7&}<8Gi2tgfGXEM?z899SbP8j7OnXQ zRyM`>;w53H2iu2cat}T@{qXcdo9@3}EWRRj_P%UzEIz|)@bydMhqRpFHPyiN#V<2s z6>DD?>7FHq#zEqY;2Kw$*XI_~#<~90`SnkrU){G>$_mRxPpeNT81$cT>?>bB)L+#5 z^-88_`I^x;okfYYrRMpBCj&)Kga>R9oOubFkI;K>oBF+QBS+hRWwGjIc!LrdS7s+> z9T-LEdfZm;n3iFtgu?~+fUyNZQGgl73~Ryl%-(@k$# zv}IQR46k#mEiR(wi_)bL7vS~&7VTMnA^pv+lErOTDCcGV{JhnxP%`(A5rJL+sk9W) zC@0H^`&4kTDK1q4_szvQ72f`8^@bUy7zNkzNKJahm%yUEsKtbB7PkUTF0Z*LM8$Pi z6P4wV9DCR9`7aD{Aw-#@f#QVYTa{wh-d(+vaD{S6G}*-`I?^!`>C8QtBpD*o;J+^3fBWzevW1%ZSXf9 z&p1tGKUXYAjtF#zG+Q^yrmVTV(0EaCT4m}Xid8$v+->h_|1Mcwv^`2{9yCJ4jF!CG z{*jlk-_u7st-WQ`jKo0qoz1xO@z4X20nu|UG2sV}tE}QlN*{_hV^{mHmK^zV*vD{^ z;i{bsi368PM0l`j8Au>yUEcq?-YgEe%D)R*#uZWe0Fk(U^>9*C{-~5s%Ov9nB4{+~ zBeo=M2X^()AN%?z8KX|(rjCr~;Kf1jXZ#+suj0LHceXQLO@!+fS47rJ9MLp%3YN~x zm+aPAC{(JwuV>Z3H0qhM1buqO$hEh^N}i@%H^298M787S(7dq60Z)O~p2@Ar9|uD6 zT_ZZfS#3#8RioSy(M;RY$`+oh=xxTDA8lPkPDJ;JIT-g4l|lOl*c}xuskKe*VlSC{ zKC}y}V5`DR2;i;t0(bmmcE!W$T=n-vbN!2%FD+-Eo#iVR_TLWnlxQZa8*YiJ!>Kfe z9dhB~xT|kFd=oP?30%eIU=ergB$8Jp2gUn^FpL!8&7!##Pf>z)uSbmM`PKMiR*vx4 z&kyYzMmI{%>n6{A4jlp#I48DowoGqfh6?Fve+sH?=QsxPsj4=6De+cogiucocSo(UykicPvM7>roU%>US-O|!kA1Bs#E$yF?GC8o#V~Hc7qT`E($DA0sTvL39 zA5*2hF@=LGqCU{*fTx64O>CkPL$ykV>-}F1J5AM#=({`5wdgzcd&JzYv|(j~SDOOu z7yhn%Gh`o~58i1IrbslUtLh!-(pQtkzMFld_n@RMo8!4jnD2!Y&dsuFMhJb$O?t_a zHjnE>mtuwr%l4A8RaKDCC|{k`USfOI(?zj%LrG1+AG zQjSi(k$0G*~0MzlMA@hBu+%(czX*73z=5w8=%{(3NOlxXBi=G?cMWMNG@t~ zsS_x$WTLBno?oY&uw2P9=REPGXu>@z|0ZQPy!Wx?2Qzv>){aZ>$@JZ!R+`+EDcScP z$2KJY&3lY4(x<|4H|e}o9l~Y16;^!7khaUTW|6F9bI{54m#v_{e6C$CSBoOapH$>VPSp(KjKL59m)3Ek&G%xJME6-tX-Atk=a zX$>!mi|Yv7GvkwAT9wutH-V^XkFy=vqBrYs3t>|oS&VZJY;&I_``Wzg8*{g8A1_Oa zlOE_P+v9MKe7fODZ~-E7-a5kY5sf-R01FBftGMiZYWXfl?<~tv5V&2nIrR~#0oC$I z2-gt3N$$-)$1DhCxBcOA~M z-c+WZKO}zf2#qdjfG)J2$Zy*bH)I?j)D#))_tnz&Lx)=-<-_K)#a+6#t>-hs_Ex^} zeq9%mAIj@(_-5$QP{;dkm7UtQ`i^y~M5*y~eT#r$J3e#eJlWLjL>PkmzF`)?(4@?H zcU@0^lUZ=}E!H5zM#iGBt6VXIUXzLTSGj@4_X2TcSl`T1 z_``+lEJ-n}wK4T$P}@%Q~G@3>cQ59cg7 zk(*Z-0dHwR^j#cLE}xWJX6|b)>{MQf#{7xWU@@xCeG1Z7EllwdUUIguU8o*DBcVCa zAyByH+@w~hv=AToab@gVm%ge;klKT!3$NM1GFHm6LyOV$OXdD3S^R8I#(~93m2YYp z!ROAAPr@}Kgz9b6m!HRK5xD+3K9~oSH{0T`Ex0QFM7`k3RPAb>Gj-GeXXP)ndYzG@ zb``yt%ga6e4ez=%=JPdcXPiY~Yq&d?;1T;8OHY}{6L;H>!IyU2>fLEZDo;5uSUiVyVsflh5|+N_cxowatw1EW1UOp0ucE%;EPaPS^F>z^WeKT8 z0vH@l6jcQk+K`RyTcYf&v)YEv}Vs;HvpK3C5L<5r)R7a$+#i{3tQMyJLI$@s=`a4X|7#23H+uK&&)*&KjP z3o!C%^bcajCW}9)!Y_tJg(D5!oTvcY2F|8n=5RZR+fckAHiCi(5J(|d5NQBJ__TEa zRBBg@()jyZ|LdMT{cg4E(~N + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/electronics/smd_blinker/blinker-pcb/blinker_etch_copper_bottom_mirror.pdf b/electronics/smd_blinker/blinker-pcb/blinker_etch_copper_bottom_mirror.pdf new file mode 100644 index 0000000000000000000000000000000000000000..9ed3b2432c1789abab1852ead2bbe0da5da58c76 GIT binary patch literal 4359 zcmZWt2{=^iAD(|{o0O#x!l|sqti~i;vJ|p36OxezLo#MGGcxwNiAsnp*OEdiNmAJ| zsmM;FxF#XVj7eDsS+e}kxNY~|GtZp!``+(+f7^G?d7k&E*qNGZAz|83B!B>@ZYQDo z`cU{`Zw8qJs4p&QK;b4d5|KfreIMD;s2=|Aq~#&x5QG5%AleWZWHh}Tz{YU`ZgvcP0sa{aH9np(K2hiXG+;0T!{}9ZWjQw~97zql;8v_iQztFG-5HJJ^ z3U?<0NEiZanDO6NV+%asNhH&k*AVGG0NjR3A^pk+^WD8O7@ol((I^1ilyutLorK%J z&*ewb*xzyh10Z0!C?rN_w+;#mEJykW@XNQ(Z?wb9wF*lV7D^*g7~d;}n@}kXa7YJs zgUfMHIF3Z8`qSLORv;07BgPa86`V&3Zw_#QHOa%9xR1&NoQ3m9G#WsnFfPBfY?=4t zE;6B#sWiMF(VYYcZz?+zydNMe8gwKye^t9Y_WA+rrx62%F5y4By-eSaCj!D90oi}= z0TvK8XL-YZb`XpsWSWD82}wfxh^^a6lKYS0uNE!WBuv#A_}Oh?AAV$ikVNrfoB(wH z4r%U92Db=+o0GxaHzm1KJxD_DbO!iz_(GW(f2CW+$(Ku<8J&{~-T1&)I+{J9xPmMG zd<)=LOOEdnnll>{- za9jR$qcGj`81DUN$$OU;jvF6bFLEUFn2l>n;cfP9_N3ZUd#}-Rxfw@MW5b|Dp95uk z|9IkddBerFx~UDWVe{yilg7UoTVLTYQ5??oD;hERJ1m|n$D3OI4J4E zm)%GCK-*7#w z+&jL#Xv(=UVB*pX-k%t0G=*12P>_JK*Qd>F!zs@Ywn|t^!@}xq1}Cze5{Du7^Wqlk zu1D|K7f*Jo5kp#+wWt6@8S$Cg-ASxFn29@zB$ux$}t+UR9#=>4#@M++X#tc%nKsjV+5PV?e=25UKoWf_m6EPMKg9KF84@G;U(Lljg|^AtsS5&E{;cQ*LnO?~<|F3tJcMfzM$2ZvuW7u-|TZ6%>{%QEZFH#P}r zFafTbo|2)24ql)AlAI+nJf5vU)-*2TM(kVH&bQ~*4~eVrH(TyC9Myk%#IB6{7+1mG zHdsc$BP?ENYFRVmGXTu0t6c7zxrl(j@IzOW`6;o6aW{f!u~nM7cOzv}cyYLMS7@3D z>L%ETRPJixzZ8_I@I=wz~66yY_mR_waMQi#b& zi^bCw)IBG0)-P4^hJcn}ZfG)lW%{?~LgW{E;?0l&P6nb+(ncn%L3a~uz{)qhO_h+a zc;1zEi=Csqu%4Z*_KKMtSe)KHLf4S=GCUc3K3^KVrzaCWC1OA=Pf~Q!h28%4D-?`b<-@kJt?_{gT43Weu~( zVrF`L{1r&~NhMj2O|ik7qDoaSe(ko8eHvF+@m1_CJN0b2$Lc7&W8r;=5*lHnv5AZR z$)TPQ3Yz~`-lnXf*?n}7o<3Xm{&bZ!Nj8|j4x8jN)S}jXxVC6|y1anZPbPvBN?tOX zD0`w#>7e>lb4e}@8n_n*u;W(WHr$pN5hJOlLcUQMaa8}t;`!bl^@TSZ6MDOHa&6Tp@p>v{ z8Q9U0?Xq1C53@|f5{SE%Ecp)cs)ot|Qkwf~O~g#D+nD=&qo7T81acH@$u&m$i|Uk$ zVsy9R{jAdjX;Htb;$|-x(?#b=tM_^?ojyiX94rrMyEOcHsop&s=1e^CSPJ&89UpK2 zjSaaP(R^-aoJ`L_Q|}EY^33|}LJgN+uUebsCNYlcZ&NHUvaWJoJM@#P75mR zQChFLY&d46vg~w;mkxnF`M^hgCDyjR=U~~8d65iHat;rxI5d=&ot-fm$(<+~VaFQj zCmgNJoR{AcvhD203cvlfNy<}_C%O4sHcRBie@n1#X-ac!d=xV7p7m$ms;=4CRbR$l z$wAf}{E#yeg<-aAEOk5-h6rMOK9|+u&?cGZd#hlrT{1J=YU`Um1K;s3+h+>|`;?Y_ zWU2l~VsePm$2XHZEMF*I)}4u2KP+;fMmdGL{V#yaR}_TY!S66*c*ar>pL;WP)G|C; zF7C+7={B2!gH{-PPK}G(hW3sS__?jE9}R}b&)n`%&rCxZO*e7xp3iToGmp-%4yfOH zRgauH;W&Vf&r}Z29SeH@A#1dF=C0c2KhIF}TN81OAsIGJ(X9Q}NtO|PA?t4!#0RDd zDy#EuY^L3S*;bThh+*LKdqyJV4XC@590qpxyA!IhLGQ=3XEH;}pn_-hY7dhp-DX?r zPp>xYEsbF3_qo013euB0?~NH2#;WL77JbSLt*14OzZ;%g)LvoGTi5!j*PHjHV|w}9^j;J^tL7nJ{ z`9b&9+FAXg%vrY=H!&h}Mcc8J-EyjnsIw)J{qn1kJ>f++`hym6)%&^%aT?U|rurq< zX9vbYBL^y^XXnjUUqIpha9vbB}wM6$SDCPL!9Z2bBsTpt2wl5P|H&9 z#S0&chP22MkM^fmv@`qc1)aySL0c??L<@#1>O>2;F2Q3BoofnKxSsx?7A-i?AUMX^ zIOr)Q{)X4%`8AT_@WRjCaYyHytU6Dr?XJ?6F4F!7Vjml^IMuB!JKqMR%gQxWeBF{i z7CHKL#=A=M;;~{;L9SAnlU%tST%M2yx2xR^kKxUe6WWaa`U)3o2{?oPPMSNM&WL)5+4lI3G`L zvIlo0Zn~`dookZM%(ilFq0bjDTl@E7OVO`DL=OWek(U1k3Y!wsnh zm!$i5DU4nrRKBU=D6qA-@0n2o8%^ygkqDRFgq=Rn z3)aL{rIok$9%+DLIu$-ztF(uhrx#R@Ctu-JxtR4Tjb76N>$ciChvt&*BkIQXs7ex%~F&z#(_QkBcIHk=dv zY2$*XSONT@lZSHrsSES05W@vAL-or_puGGp1HKDQp=1!M2sA=R_lJ%XYRezW;+G(! zL*Z859&`Ze3>7Li9MlDrLR5cHydXinM5DUnNelqgho + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/electronics/smd_blinker/blinker-pcb/blinker_etch_copper_top.pdf b/electronics/smd_blinker/blinker-pcb/blinker_etch_copper_top.pdf new file mode 100644 index 0000000000000000000000000000000000000000..ea7d798c1df74b8ca9a9eff23e7c208e83cb8f52 GIT binary patch literal 18307 zcmZU41ymeCvo3)ULa-2mdk8Lzy9I)TAi>>T7l%a_hXi+*Ab|vTcY-?vcMmMExGwM} z|9$t}d(JzYnW?VnuKudN>gr|Y4DFYX(ySb8+^;yO*r~uKHm`(*UxC!DUG2=N7#^P( zUx6f@&5d2b&i}Xd#TjhoW@`SQCXzCeD-snFHxe5XKXC8>vK|AHB$6H@AaNqGBXIzK zR7i?QU?f{4Yb0YpmIP#HB**`pje*hDfaCz^je)Zn66imADnRRsH)pw?sjZ+O;VhmXdt0OF5=oa`Lj?A*Y?#mUJd!1zj3^p&}T*+0iP z|F?q>M{_EWq_L~99oX^}=!>zXxeFB+&_U&Y4dDL&21t3j%BZ>mGra<-exP!7cKatN zP_eVIbG`zZ8dGtwu>%47@L$&tpH!(VjO|?h)5qAwmI|Z@b};`RJUsu`ye=@ktE;)Q z0~P3_xx2Ngxr&U0{(pn!{r@oh!}<@gv$=!o|Ah>a1Ut9_O&2P@|F{5BF?RvGIhz7L z3H+DhgM$MYxXr=-UrhybGizfBuqT!7KLrOD*S|ymf4Tl|*ncO3B-jq@tm(LTL;hI={lB37XSj^2G1b4o{wL7?&vU@=zpm1N zAOCv&leLsgY|KsnyZJw1`;QO*u<26$zbO54`@iAJn>$##T2b-;7eZ-kJ0P@FAZa^* zwU6eeU^DZ7)?HkIthRsUnK|RzQckPwp6S=IIghMWvxei1`HcJJYx`H6U*9o)_(||F zx@%4IV`&|i$F2y(%SyI$j))l0k_yjlHbV6$yk`^mZaE@=LXf( zjsN&bJ`!ap{o}Buu(d%nTKff;0Z#>^u*YbLU-xBy2rO5L|0+~WJ#d5MqfL&Zg6~H9 zHoY+YM%ha--hEs&iB`JJUsjXD2!Fz?ggm#;Rr`i#Xg-vCkJ)Gl;jj*hvAv3lr`yX4 zKga6J_SY5|6-O~0a8FWHzvw2X-=B(D|E!9xW7$S#?S;S3dZG7p@{E#*-7$N;bOnpm zr_=JcVHD*3hHz)+Fq-g||Feec6NwN4u?>au^eYMjt@U#hQSORC{BaKJ%wNKrB91|h z33(@ZPMgvqTf#w|M4wnUxd&I!&fJHSqYJ%(DfKDU*f)0DfF^DJMT{=1y2n($rnOJMAqau=< z5MDOT*Szw)@kbl|T!i|fU^is?<|}-P z?ng0X=H}U2fwr9XInxmeo4tC(#)osJQ)2K`Hjk=z;m;*Cs`MNu>+^I>aJr`AxM$L( zN>FJ&!;z|rUti6bItR?wdmJyj7XBl7iJ#Kx=<{tow$e@c=(QX4_m48UX80CfyDrVW z0OU8Ht)*C4%#DS@5fHt#(z*u(glSv;jN6i%7DUSW48!)%Q$u&>%)g`PBikUYa*&WGmKsplPsD2l)8PG}J4&on3K79on^ ze?QRpbTaM`uz|Sht|Dd9DnCKF9ouRzl{}Tdj5)PkaIL<59?>0Pu-HfM!!WShXmE!+ zcO<({SC@S^x4{GK}N&PGqJ4#-P%1AXx}G3 z_|1P^?s3-uCHFc?`4`6~DO1t)R|6&`F#S zTW`6*pwk!I%5cS^)9=wgFgQ{0LQ5#mIaLtql$vba<$_L%elV~I-@t3PZumZL8HjiJ zY^~Ok-J0^89`R>PX%SIF;0bE;>sbHA&{CM{n8el3;n%I%y)&1>7LIBL*b&^%(_+{| zDPO?PX48M08Y$r0$+yom{*u471Lr19Bz_OyWbO$1Tge(Uj_nCBtC}(3g6Z_7MP#F; zKHl;bS@}8|;!o&VqVen6Q}ng!BwzwC~= zNtT?!$FcVL-9T1c&i~xfs}CfgrhgjSBZF9e`01}Rz~j^1aLr(Z)+)M{;>1Mt@&l>p z#*!d|IJytCyTMJPlY9FScg=Yle0?3G*h_HRlo`$peY_(Wy!Fqi`7F1L0?IjYxm?#` z;7pjN_wiPmJDxkkUF&0H7W{LEE2as5xI;`9GtE$#q}w;RKsz>yL}*mmzG(S%D|r`M zS3%^()(O3(PsiVGqWO5on0UmhG5U0mI~lw`pn)!W!{_#JXy@68>($#f_bOzF8DoWS z@t|XDLsp!WC%9{xO4OdI7S}VYr}pmf{--d$i;)$({JV#6a7VPUvwPhI&JAzNHT-GZ zvwE)r0qrrrXwxpCN01%eUq9JLA3P|ocsSl)MrfV|gN5!L-#ik?TtbV=g;JB`KC!OW z9&xc{ESxB0h;1 z`&z}*h(5pHjyqxW$%(z1(hcuok5GhkmR78-CP8ce@WU)M%Q#y#i^&-6pZ72#_UGBad zCH0El+MA2+f21igDqK0;{YBU?D84EXjrDL_7v<&Wm9cTP+WY3cGg;2 zes?q10h13hU3KitJ=dOe6d3dmK6&1FPWV!g}1R4a3?pG5jS zrhkf{c^NkI(N&hT_OH@;D$;TIUMDw5qRK=Wv>%?pUL&td)PEpj)pRAT+lt*5G<<>_`2{e{L<_Duzbq7sMX-=CXa-s7li(97S~xYZ6Vq|KvYGUcxTz~iFP zyYP8UIZ=n6$;RAUG#~WuVjbn42;~sNH8RBhFuxly8(G27l=wAIadC6BsW*^o?A?qHY1nLq%9Nh;N*(khhmEU%h11nA2IT?Lghmf-1CFL&{U8JQ zr9gYhTdkTVxk#S#>$8yf*D0~;?A9lI!@=*fi}4%Re*xL{bM`2F3-J+h|yNXEDt5ZhLi@=_dHBQN@iuD#Aejoc+Nq~{7^9H&kbX6~sg&*bE{(5+Ha zS>xxNBi_C|mDwL*KV-Nx!7~8yd%t0*@~XR8JtfczmT1YZ(RduG_3$i!i0e9K{Yj2X zaD9E6W~@I&brWZqsSWq9`9j#G(lL2ks%792|#Ryj*jf4k0z9g1U-dBR=l zv>aVL+4VO4Qy<6`Dd%zkihHM8Wu({oixy=SVNE8=u=oAu?j|4$W@EF-|M@Zjsig~S zhTw3dam#RY=uWkx$+HZ+9OzZT+noy8Jc-i73fcau54WoP(D$XCV{v7DI%w0JVY5rf zpE#P?Yni6qv?s><8Wyfvy8ig0&3yg6d~PGEfGRqYy_T}1Q@Y7u&)TS}h377#t+rB6 z@vBA9D-l1gF-FDvZ8B;qMycA_kX^D>*3U@yNu#1xOc~Q7X&*ul>?OFy6r5P&f)@o* zm-9n#{F)CCL!?2xotRppDz&^r5M@%=k>1(Cv5NP!wI3>i%u(lwwIbbQvp0ZW2KF7V zCQTTQ^{OA___OG-o$`tp6&%H?t>0Qn7?@;c42XC{O@*8t8eeKX&B>Rm=$gj_#kg56 zxv8&U{nwTaxF^hs$Xlci;78b?>n9Z&l{%o)+mwanCwYI<6`xB8L#U5zjS# zo^)Ojgtu~C2%bj7XA@n%3~Gq;Xqkqy*V3i0`JkDbR?1VK1nc$|QHxBE(4-q2MANO_ zrWWRIc%o?(@Q@?fFyH7TN{Tx&ma5RfUqF^`yM+~#l)tH{qo>EsLUsDCL`;05v zWsCO6jGb6q8?9iV=8rySZ z!q-zpO>etKg%l0XXOBL511L6n&}KWkq1?2o;3aIls3PWM2`|4bOO z?VP~-wBvlv>#eS{=(~Qz`e$lFZXu_yY-qZc8;dQ)S2cd1mU|>E&375K{g9nCichyp zA%R7O^ar$}sg~6?dSdFr#y(ogg^yJ~Ik}uJ*Fh)UYF*&|SL-v)#a+cTDNofStpU ztH0)rB@-AgRiLze8baawly*vhK~Dw_SS`vG&{tAIFv>!mDI;P~;3d_Gj*pcv2kY+o zE(lKb3v2&+J6^jvJI_^Xt0B&%y{}7MFt*QZiaIA=f=l*xp?4$JEeeNO`IYH~Z8UNo ze-*iS1B-@X@9-;8Vx5JN2wiK~R)+}sVp3!Jdh6!qsHsXgD1 z3Zye85Lkuf(vp9RQw@V%JqyD%Pr{9K>W;SeBANQBE+#U;&OMt--0RkmmE-Cg+Lr%8 z)wMs@KC~?;ohaXhn|nb|oW3AJ<8=#-k`CN|*?QinLYVQ9dmDT5VDC&EZEeG9VU^=H zBD%tmtno;EC7+RqoZ|0=_z8B_i{+Ewn|SS}i=eX?J=1A|0YlVCQNvGhy>f4%2HFgd z%XK|8e+l#NrSLkelyLG+cUI%=jBjqU-~$%@?jpk;Mte6A4r^0|>(h>kpE+?-lI(ui zkTuR!4i@EK5}_$N<+zDN4ZiSh-ty5>M?Fd!LzAAoWf)fJUzlF4ZlK)j5k{Atc-I2u zUj31_jKOXg%`n$9F`5#TS7sOMwASm}^ocdh{91?ny4#3Me7F~KItqmjg7!5$>h7J% zm`i8hg1{Sgr^gg4wy-;?a|#tDofwuU8F-(A>*m5q=Aku0i6Rx?q{v)Jn&7x^8_bz>$UD#`50V(qkOe+`MJ4Y<&the8M|tfLm+wW6rd)Nl`r zlqW*y7>$u9Ef{Fc-;ms5%M|q^v3X8tWn2m!dCqBDd11`y7~meQ$@?W$M^~HNH8H|i z-Vfzkze$W=yvn>nemn3oB_a0xzUf%Q`SU0=TOLCt${NMAtS>ufyCaTx6Hro_-K=M# zp#0F?9U+y*k(0pk%bQ!bF7LSB$~!WpWuK1X2BXL}@0s4iC~u@hil*GyS~pn=XCL-h z?{g9@&#ej1kGV4mUwSFf3i$UJer(hsr#4-noW_?9QHFAsVfg7pZA+Z)4T@uF;#8OF zu!pp>PUZ~l;D4Vy9~jJu&P;-c#d5OL@971O)m??CykPo0HobjhU2UJXO~k_Xeh7~x zOSAx=?wU-4QF+wd(cWu9$Vw`kf3{)1Da}sv&(G6#J8+>rhr;yu_sfZg?zG?~76*b| z$s(P8&+>e;oPq=HwZ!Z4>8np9Jj!m6PfXCiLxexYWA?cgZO;a%nh@Gx zkC7jL2~sj8kr4c~z#racd>4GD=q)~&vBPOnbQxW1kuN@lniQQ;-Ro1`7IYW2Y*zMwVyGR)eUK;g$t2 zf7r!^v4{|xW&Jw9r&rH@ZV`H~AAS`#WuorgNxY*F9)2UO8$$(`zmC4*eIF|7mD9{6uOH6Jl1yhstoxDGy3 zOHOjLX_KDD)x(ACbhUxUs&JDwC~*w4n7e)ToJhT{^h*{Yt2^-N>gXwtg^Ti4<+o2- zUJY8g&3P!Fa9>#G{b<#%tIrjllV0D=bq1-tk(m z*2gUlQ|!9Zh(?L4xBSm>MWY_V)M?Xt7!qf{F=*I7&)L$U-xXk{)6R;ax6Lxc#Y(f* zDRNK-4ZY7BopmiIO4}xPG=v%9@(_HOCeRZyO_LsyUkr@iO|ss`%4eO&jCpi5Pi&JN zCWB;KH5ACMkqc0_JXI#>49}gvXVxc&fgo+utxFMnlHd;#=VdYZsl(7E5BOf-bj&d( z83$pS*3^TFxp#Ggk~X)!r%xZk?ihLO9d>@NxT6khyG<_b&NO}m&p1>}8O^Ik9A}y3 zi;hdx5x)|LgSs^Z`qw)t?VAaTvmuV?zgsP`&mxLRT6)+}!?QtKUTYX@M5^t1kO@t> zHI^!FbNksA+T`X#fuZ;#!d#RM+pCO3xwF#vzP53+_-P-T@K$X1Np=94N9P;^2% zk#{2N5t*enoOie~a|+%q=O=pN$0w{;d~r9xU#5LcE~ti0IoaOFk&3tPzGeQwYU3I& z=k3zAguw)B_Q};Mdv>SySn428{KY-~+9tZD&khdl3bj%qx!_q?qE3E@wlAvYW32O= zv)>2V(TLpYtV7CEQQTolrrF4~n(}xqzkzwz<1*}PMi#%HrOf0m+7rxv0|s1uv_<#w z!+Qmz!V6mM&bGVHB;XQ(QA`mJm3P(&SDm2`B{7}t@7B))NmUj5OhO}y0&a<$tD}Y} z<7Y7749U-g&clkOh6nTB$UMeoitvYM#Q1FEXorQml|mqS#|;)Ed=ig1*PmJZWL+mt zoI`nsDXZalcg_2BcDIt7E+Y)OI|Gy!=U;4`aAwZJ4zqgHSWMe@aB6neBu>f7cW}5` zCLA4h4=UB9W36^-w%i6O-%#@NO`q;6Z5`6;2vo58rOqT_=+_}M#wn`_D>uGqT_u(}j-vK`#d%`bc@m;rZYNST=c1sZ(s4EWJsB7l?Uj$5O=j3Vi#)*x@rVDq>uns4QnN_eWNjU2Eo`o%^1uE+%gLiQ1 zB1oIh!hZLJ>ORKOKfA8FO!}l!7+ZvX#_C>Ct92`xZ8&gerCrSBm&yv8bmfaiP=nd* zmYvJ!n+C#J{XFAXfpP7qKKR$T(G3&bfokNBwL3Wby6dgF4)(*8D(PM6JcdAA!}%~e ztO)joD5t`#R=m^e5xLFOU-y8pBwonZ=t{f&XUv@3wN0jDYtUrIu{&aj@{M^H_)NVH zLA~SFi`k<2REnT>TJAJ!S_B+{E0+7dy?)K&H_&AAAdRl6tGk8cyZ!kwmZt2Zh$=tM zTQ4uOhPwOH=xzp04eYZ4N(1gKr;b&a=3=$pFMsu<#3dehH07;i9>1+=Eg3@BKQv_%TQ8 z_j9_xCf!i?@e3}kN^bB!rsa-I|E7tl@gbce02-P}iS%2{9@+S;EBuzhtANYNp=K{r zSPE*nT~$1q%x@*F_xo0l080Zcinh|ct8qY&-3$>In_Ed6>qi4u>z1>yKmAFK6?Ho} zy*=Wr`oQcbc|RHu)R$GR&6){jeqM_id$z-r1`|hW1}CEjEt^1|8UC>o2ASPTj>Y{# z88*&r;wi^lqfYbO#;Kc4OiYPfH}Bx}eyZ-sXdC}4&%)DCGUw0Loi$iq#On8RfOfnf z#&ib<^4JJl;F1Kwf?kMaB=9{+Y#S2u5iFq6tNU&E1&|yo?5jfK-xfw@B>9ai1%Nzi zo=%N8zK9cRl?|cs^ORD8ZMNA}-JSd0o46x<>&~KUeml8q%ygG^t(U%gud?=28iDpB z{q{WaRiX)mEVoO$oXD5u_tS_s8b&1Ti846tMB$>YWM4{YDlJp+5C0ZkhFaCT6NNp)FexX zKE6}rF3C0ScVC>nztO^4VGx-TC7tEFZX?m*>d2e3`TJN%a`#K_jx?LHxaeG4WW_io zq;c!*WQcFsek-oJ`tV6!p49HxeGkcAabWvHeHBIX(adynn&yR$$ja5hexFvw>T+Os zoK-+qbJ(RTIhu$CTbsHPWqu)R(*C)=#ml$Sa~TKLk{y~#q|i1#dT1QkRm2vX<=E-b z^={^vM~X*r_HKaZT7#3XQrCu=--noLg|RMlJ5!SToswSDF0PTzUiDgSj)#XzNO_JK^LAWrs&WF5v`dg~&* zB_8Qe0%Is)iU&=NU3xXgtg-?{&nnk~XF|as?VBg)%Umw90 zM#=}eL9klOUxJKV{I-i7y-STB0(EPoGk)Sop)mgMxEMh>4c?Lu-#VlT&2p$+?K$9_~nJxy{%YN_4xW@6sQ8 z1Mt?mxG_s;V)!eM(eGvd8d=1kr0{MFRK*lb{L*q-?|g%N6&I`_j2-EOsp3}22I;2! zN|6zqu`VvoLf7aB@L1n!#_NAX6nfavB-_njJ*>Rmk)PARE zF|c(;prP#yrJ#1`4d-3(Kt9F83VD8=jk}09Zx}-w6KAbx9{Ijg=(Lf;-Rv@pdz`-{ zkTK)RWbKnl`s|)opeRlEOHPL$`Ax;&v+>Jl!**fHwhfD%8hJ*8#r<>MS+0r;9#h`c zp7!S4-;4>bvO4Kx4Z7a0laTw;pRm`!vCNW+U5LS{5VzFMHHFY_!OE``ZQCSm9wB=I z+LS0;ZtA@QheBNr+L?Yv1!=j;S6N0M&caD8llisR27k*jxAZnTC3TzhcbjGW$`R%7 z43!w`Z`$l@T%1ifEvMW`*$}CZojqS@41UGjWT9(dAKF-N5Wi3_if@ZDKk1z#=lxgJ z8o0n_<2a=`SD*E!$<&RdQdo*?jxgT&Xf>~$4H>%Yy@IQzO*)TpgIdCU!#4{EeiQ|~ zZp#kwW#Sjs@EvUq&umi)2@z%_Bpnh{Cq}O}3NTR3v$ict|rrI@<;huFN_}XFNicn_vD;Hnrj-8*AcXM0N z>91V8UbkSGY56yN?ozrraxrpKFkPWo1TXf6_9S^vNfzqn%_wAj+Rl20cQMUIO)Q`6 zW(i6t3f{@Q@@a@IF(Gk;Sx*>1ON=1oYmQ~*wEuJvBl)n7^tG7YB>4)^sPGXG`Z2O^Paeq}mGv^6P zZ@iRtbjWTCH>7~f;u1#N?XYw|xcCD2#vRFe;+Y=j{)}#-*Sh>Q&F{(Ut3v^JN zxfbPwm*WN0aK(%Sc0Fxt6pyUc485irR(M6b41YwUZcZRE!9*>36mP!o{i365z1|wEmRR?XbC1c z$qj;ud4^ef!H#&k5trS{_igSS*Q){z?+4qakH3uJGNy^r^ch)G2HH z3^m<2oBU}ErpX9KI7oELOtU*8IlI?>@<gvcK0jPX~VOYd>8G!?@o(>Udtj~+6qH2MU#iEKeUWeM9 zHXjoRA_QuFTJ6cq%Cwp7S}6@FI^NWp5lo#k6Ms{k z+y=d`X%pzKd3*Xf%>=WH;ZYw$rEt3tO4r%VUp|vGueMgHl_n8|>)Lfjv;CM4 zeK=c`Rzs?m*G90veOmUOCA(eN8Z%c_jt6|}S)ts~iuE;kBrf{36qV>QIWL5~3Wed> znF#?ObQ+_=h0F*#ynhJWI#LC2NZ56Me>x^^9=JfO<0lXFR13T;aKd4x=N*spD4oP; z(n5}86<@C-_449}AAK`i&CQs;$6i6P3>7hl_x6HzssvdRw(|}rIw=pv^kk8q&;fO+3@GrJPF*cHk{L9o?5w0l5h-it^;09H(m+-U3CH37)@mf zkz5-Mxi%w%7Q+Uu&A02srcN3c8_3q$^7+JEFUr3X!PP9sPj^^b{USTOGBNkqgy7Pl z(b~k2w87QM7B7d?xN#eaTrz)aD_rx;E`gc-n1l!#5DghbjaRqKk1_Fd0w%nkrs13Nt({QQ=)^WEO+0O>47Hr?wVZ-TYP{%>$$wd}YSmS9 z$lnYX^xu<2J6RU=C!QKiX{eN`C@U(tb7*!$iZQVa#&0>OJ#iuPGPucP(tbq;9@=EK z{Mak#zk%9s8ck7?SK2F;KsyGGSnOx@_#9n7NIWn4Nb~?kA7Pg zumKw$hbt@zsF>Q|w{ZUMp`~_6HILN#r7XoJUJ@$s=g_kI>jWgQdM8^QB|be%F`JLh zO61+Bl~b~rD%RKQMn0j8hJ@u=^o}e=Ho^LXP!H73aU6gHw6dNAXeBqD^(YQA9>5D#h{K zqbG{953Z}vIj~wc6!=d_!QI~y_&#v&AIb;5<2Tz%WI%a!Yux@E)*#8J6nU9^xUSoZ z&VtOf`dm6RD7Ut)UP+Z_C-CLK`A8p}_K>YZbQ?zS79Ry@`8oNY_cR2W00OBh$J4`h#)45P~H%-o&+{_xcK- z(W%9CS2|cm&S&vG%T5)3aY|>@!$`^AY3@kizL9OUmq2Gli`Y`FR2D;>J>nOfB=Yqx`L_MVFMrtD||9>rfGx z=a#ls)3DHZ_mDoxI5JDa@VO2-rbjsotyI=2i=*hV@>aHB$1@kujQ45Hdn5Mj5K#q! z$qW@G1}`!!WfR}8m0NVer#~spzWC}j%}ldzuVeIb$^Cb!ucl-`!^ojXK-=c#^Zk0 zgJLpsvr^7k)E3{nYveC-_0}LkRE43zl%Uz$j2r9MGS>ROuw$Vc!qyhh(=+Iv`2Z;h zg@(3o*dHrBVuR{LTs1k~-29UKbn9pFE*(hnJX^kx-vWJX*nfDAZQ(=+)Z_GM#nttV zVy>w7d+J-ZT0YBJxP>-p^g#ywtdN31=%R3Zw=$RPJL!=6Q%-KW&GNrdDY+sioV8gg z0l%J~a>k~p5VJU`SxDaQzxiZ*##y_V7X$9rVJX4W)0Ak%Ot9Jd;ozLvx)6Lu`gO2_ zKkkNhJ>{IU)&$dN+q`p}A0%1TS#?lIJ<)v1>3YBTdXggTxx2v&ex}i;fm|60LJ$h6 z&0z`dp|EMtH&5dE;PSu_>D#Q*IdTG#6{j|oYbp-%+?5a&@`#jNj)xDAsCG@Kf!G5H zMs0?_g_c&UHzs@$QfHj&CX0mfp0?HVB-^K`E7;C>?EPDo71dQgSz71k41*Kmt~!e@ zJ0nngJniQvM~!;A*Up4aZY_MPyhQ7oJt=Lf=V4~Y$u^R$II*S#14fw-tnePsbzdS< zxFjoGK29bH8Z?2!SY9fk00+XH_jQCH`$lf|R=ysY=;u`3pXYWC30DR5t|y`&@ul=8 znBhGE3D?x5@Ss&Y;qV|~`k|Ne{A9ExJ%_VG<;uD->#@Ow{C_aB*1p-@T$00sa@Qb~ zm>#QPV|st0bv4Cnm!B&=eAfnv;Z6_B>()ROGMDCaZg&KrNS8)Z&Z|c>l>}#y?g=8pHO02-{9*s zF9~kk$ZW~^b5{!u%6q~ANX+7`(o`f1_z+r0vOEv-sp5mN8+;K}rd8|+m-81X8%cfd z)(Si+w_pCfEVWbvx2E>Tn^*kN?JqI8y7FlMW@vcjQ-#@{O?jvB&69yDdAgWth{MiP zR8WiS$f_ShpaNUia<9z)#R*jJK>G(T+vyOgg3(*=m_4B*V#@xNnX{I7Mz5Cyj%)+`3WEdv%#=DDTguX6$P=%B{H{Doh zx0Y-h7vH}#_zfp)uXTtB-|6z24-3Ub$JG^3O8zv8y`w$QQtC1K zUcN>Co98RkZ};udh1#Hd)|h4l>eoKPfR+B8s1lqyrWp`j_~CVU`n*|}x-)kQgU6?7 zy*w>6Z$64yloZUMZk>^)*+i6-* z78-Ra&cucBlRYn~M?AaaAcCfpJz?)AdHS3Oq}JpAN-MY))EJAd9MbxGEh>qAbA%6aVU9qg48vRpH% zWhM&Jj3~^7oPTz-9vx@F9OhODGExEOn1zmS8Hyy0`35yh8UZxgF+ zx;4?D)N7?7k`2yR?3<9jBIF5L#Jv1Px;QdvzgrSQzH-*CyFJMYULn&tv+p;D^&D_f z@Ve!lCDS^GOIwCVAeuk%R7iX3Q=lM*1XdFKyK6zLBnH?@sC%+}Q7oLVf0=KrrT(2g zcPkc!ki_I8QFTx*yQp(aQT){x73$y$6}T5rltaS{2{Aeg9tnsYUJz09 z8k&S7ry(L^IHp%Y?m2HrQ6jrymHW*+K>Ma?yY`Z|mY=+&DekRyH6>Mb+#@suf|UCT zUV6*yeUJ~&)r@(uPL>`fh&1k; z8&9VxiOP`a&~nH1&8qAhZU5OhK+-1GtD?g@yPbcxO-i#H-pa0sVs7Tcx;MQ%=}^~q zwYxo%3B#Zykx3m+Aj;*5VA9~&CvmY_H2oZu_MN#|%D^2#(A2zcLhKF-8KkKwF)8G2 zwhXq%>1Bq?Xm-?}ZHcJI;3Qmw(y$q)IeEL@arU@ve!p_j(3_=JOn7vKM~tEoKu=_; zLpd@{dHRf6jg=|A6?;wFgP@VFTr@3R`B7E`~tvZ#gkI&B> zKMAU5wxH3>{nd(MH@#+nsl_x6Hn-19{C@Xag`wBXo4q1P;Bbshx8!yBD!dyzzx+-v zA~eOvHRpXbfwmpdR!erKkSV-4shJB=uEQvYAZGRRn3bE%-zdB%E7OpRbCR8P{3EJe zmble-Zqax%fJs^N1Et|kX{dza;g+qXbDkkhtem1ZL{dopv&yE2Sj8vW%;UDdTx{;{ zMobX0_tQl=p#)NsEP_*;cQ3HLO~N~Za8=9$Q*(#}iAKyTrG9sW1)|VeC~)kI%;5JP z&u=UwpetDL=pMjNFy)OWhHp!6Cq0Hc*~2K?a4=StMjx>mf?DS*N_-syt1y!@U}NkF zdC_E7gw=8Rq>HdxALd)j?=KH^KZF-LH76Dd^f%uuga!+i@#~@O6QA;U{=nc;f6X|Z z(7BA;Id zHNjci)<(u6dhBgYB*Tgied$(zJ!U`lWbsr%5U|c((gBhvGln2Tx+t9nC8BRUaRI9- zRXDtvsjlm81Dx-$`&marQj(*I()TdcHCIf9PfQR(-M*3DBMP3ZI}|Q7%%=HOZr}3o zXCJDM9|Kpli3y>W=E9EBl-eKdJ5oiKMy_60-Ou~TCnO}rwXd$63(S*@-`a|LW#8_) zw3VtPAiBHCjC9x3^^-m#svXyGHXcsQVpj0ZAv&cownZ@q72wjC^MFgI#AQur zq`O!KUX~?iDyhO!X78gYcHwn%j-`gjcu<)NBTKa?Z_*`t7LSJMn=FJ?YmI340oKBn zL(Mt`egb7}MdW21o9-z|wVVdZe)*V`Zjwq9f5Vdyuk7&J6&Z^P6B}`#G?e)Bb|#Zd ztxu2@!s-2|_=!&C;=if@_$VlE7l=;837-%aP_#Y+bX6T>NAX z2~m$Yz1XL*Fhf=s3eEY(VDj!Pl=r$U@2*amX+-*oy=Km@>&1`B{8Y#K#f+Len0ve? z*22Sf1qr9A3@vOi8m8FA1s8oYPjBX<*^6?Y;`^sA?D4L%zj#kq-z6rpvO?=6y_(*; z*!Z*GtTFbU5%dmk{y3)S9E4QD;a^0h==wkeLgvKsKiwr$wp$h+!U|d!9u6a9)h<)C zUf^e_h1rPGeYh0%=8V3yne} z`E_w$J$(?wvEs2sNWnbiw?*TQ#k9aDGVHrPwNRBd@~fJ%XaybW;x(g<4<$V`N7S&R zM1d1ohDP79wR|+13rl>^6*!%SSXgJaZl#Hwkd7aI13{medpq06C`I3(3P*4xW9uN0 zlljujXWo)_AbGcFjT(FSonjEt=i=iXA&@uIc4Wv_T)3gn+`e-{HbiqyF}^m${`M(x z?!QG{Js*F(tKMsK_9~e)&NS+*(Go7z$j`SkwuJ55R(Lg@PEqi@;WJoN&o^zYoo*(> z)?=|Nh3hIK0ro*<*8vWI{U5A%wKBrDsMU~^m3GSN8`R&Qmn;knTlD_jQ;^p2Im7sx z;=aBrXoEmDY3<>ax`q>U__tpxP;ggpa6q4(PF)$qz;(h6#anBeiZ8tP2w#JaTpADVgKu+SYfjAAJYk^nH2vlo9C4+68~p-^*8-{=QE7hsM?wjQ!t-ML-EK$ zBHa_&V8GLqWLDYZ6;^1#wNG6KW|)9MpV#UQ>cCR*WBAN49rPK;M154h29Dl@P>j9z zdX>FU46Bg$Z_}3cJ(m-V*JYRrf-W1Igs)>*xtI)i>=4Kc)teCW?MOp^h7KNc!+yZ+ z-=+~REzP39tituNE7LI3sDF}3@8#uIFNlglch$B(%Fy3xb8bQ;!5#o!WsX;5DGNlv z(~g*2Q^VpE!_ksyxzv18>Lr|!+%}|1dpDO zug?4W0`T9|28+O({{}VEyjAOAtLtB47uMeR`EQs0CtH z(jj%TrxSd3FYH$bfv{~3?iA6rJ$3>N)=K}{yu<|twr!H1XcdJsx{M}fQ0@VJ){`&;0RzsWEmZ)P!DfsW%_kUoECE4=>un-cEK#>c)*}xh0J0Qt#Mpf8 z4Jv>4`}D}U5W{s5n#$3a^&broUBDcz-L8cqEkNS+<&xd$=xz~y!4YYr>8Jv3-SY-j z-I4*&BrKNN*Tl96*(2WFgy#SX?XglP2tbvf?FolMHVgDw)(Cb@>i} zL|Vg(B7kTv3Yc6BmV$ zcKE*skr`{#k#=-?)16)VaJ9Dr9P1XWHIV{{8pkobO$;EF=WF+IS3+ZPBFf0y(l#{h!hCA78tZi2fTYtY1ijgXtPB)KtZ}+vLy?o%ajsZ953{lHXV)b z&H<1R%)2H_v4-n`$C*Py?Ji94n=a6IFvdxSF9kXm(CzsK0(pCrkiC`S&=QE!i7Js8Tw`EJUBP!?X~{!hVN=sl(;?9sYJkMD$%;x0jxS9vF2UD8`O)k@Y$pLKC}*h z;g@m1!_FJjZSdO|7&!u2yF=yh1tkLc%uEGd!etEjx=)JC(-dY3sNyP{2Su2Ge84`9 z*Vwt+B&>u7nwhSO-Jp(Wo~Ji(S-L-M4xHT_8!~9?6Blz{7Pp?Wn!vBa^JpyTlU`*Wj40UJCaioFm_Dmn3zVf9r3uQbq~iob@#eIo!JhZu z|1s;wPcs3q_QLO`zpDiaC=9M#h^^jz69jT`oIf(d>L)9Jv^H>j9+KO7UdEPp@ly<@+m?RF?Q3DY6vN6{wd9;cU@5>(fI{fDE4(Y zlf79$Nq#y(*O>bOq#pKL_#Yvl5Zo9x`{g3G314rCaY5Vp0Be1Ig}0h?@(5(Qw)o35 zVEMy4o*T6juqj$WM1alI|qLEqD9}DF8PJk!920%#pELm?wRJxQ-(ecli4T<@fdq z8X=HBn%W7lv?J{idOq#=8+QL{$?%v2_UL;#*$5|JWgxi;8Qp;5H3=g`ZU6U@peN`p z=6_xiP&&84hWpj;fvv`>un~*dvK|QaHN_%OSomAKgl&OlZ9BjD%G?|v5aw0>cv$PT*xcnTwvI15@32xHw?@+qmAS1*6qrQwrK-F6Heh5YZkZ;K~t^!)fzW*fZ zEZLCI;VjuqUk7P`bwi3swuZW-0lupp5kW{o9Y}Cy?YQ`Bpvw5OR6aNi+qUHCest`= z{<a-wa(d>v>kcjAfPH3*l1FZ1 zU0{Yjll##SR3FaV_}q1^ak}1#?{lY zT9f+hINcW5taw(s1Vpqj#O{8kG<+=)()lqC)RSq!lD+SWz+S$#%7Ha1KN|qRcDj;r z12))B6RWNp1)%QU&#Kjfyn*UnEveO-ipd9kwmP^vtmO@??Bvo;f;rFmV-tmw#H{Q{DSn*#Uk5BtO9OW21ZGj9! z5m$SDojH$NNd|r~evfmojt39_r_vnn$C5Zvg4WM*=a%=MxAODey}sUl&cV8Qj&)K;>^KV^ z2)=5(-@vmknZb4IwuRG;D;`}p-tjPH|# zDz@LP*u8w0@bv#nU(|En$=$d7z;%A>b%xtY`6Kr&=z1n=>-OXGYukUX`9E$CN<2I> z#XfA_d6&b9yKbt_yCQjEm0{WD6&odc**?mIzHq3SV_j#-2^>7mQun(*Pd@N=;mOlQ zr%wBQI-p%OCujPur+U5hv4v|3mah96f9CTh>FLrtFU;q!{`I<-MTTFfV9(@+@{<<- z8TNY|Q}^8UILEzGJu&~sALT!46Q4_W)*QTfZpXu?ymb>F`2X%B|}7eck}IgCExAYwuud+UpkFKTEAQ(L74#K;$!} zk2_2*_$D7^?=3y}T>kM}-a6a);Wcl5Y_EQ3u2xfj`Mvlb`_;wD!Fl=Nk2vq&6XyP0 zsuiPt?%DGlWo@YjcgrSuJ~(gIZ1bW2NBuRmlPZg=tBZiUuz6STn=iJzvxNI!iSqsX zZ_;Zf3p{izYH|1c>~5y`GC)q{QCoj(likCYvUO9BNXN;mRMqZa^#AcxZSPL;{btL% z{{M;nBlyIN^>gXBdVQXvD_V=19h6jmwR~CQ_g_=gB7l)E#6niXNW%Z`bJx;a)feQ2 z|KH#L|Md0z|N8aTo0tyQNk40nNqC470m@YcO-}_7I>sbekt%w4nyF%A4U1e!Koz*z~f3> z+!XXfQY%Un^gVNdr;#{8X=mgUL@J6>)3|_Vix_elz=499sj0E4LYe|X40zB82q@&i z#f*%B$Ah4V8Jb&y4*ft8GcYi+0D1yV%-9_0TQo5fL*QX0Xkz9D==K_#TUelr85o#i zxW&N03|U=CQDSCJY7zL*oZ!r=RFHr5J@eA?6+p-708dB>%Fi!R0G&JoKJTQsB(bOj S95RL$1_l;fs;aL3Zd?FvE-aG( literal 0 HcmV?d00001 diff --git a/electronics/smd_blinker/blinker-pcb/blinker_etch_copper_top_mirror.pdf b/electronics/smd_blinker/blinker-pcb/blinker_etch_copper_top_mirror.pdf new file mode 100644 index 0000000000000000000000000000000000000000..ad93f2edec806c6139cb5faaf9b48fc5de866837 GIT binary patch literal 17564 zcmZU41ymeC(=CwT7J|D5hs9ljCn2~KB)GfV0>M2v1b26b#e%y-aF^h&3%tqq%X{a% z!`Yqgn(FR*Z&%gK4!a)|C8b%|S-DWyDcC6N3@uTFgit`L7S7ft6!b5z3@9K8M-u~Q zJIDX-DLUF2yBL}LrwgM5;|xOq!v(_%!w0;$fwm4kj0B7h1JL4tVS`}@{wQGNVeDY6 zU@Tw^fVKqCc7(D2&$|II+5%|V0(Jx7-53V+A3FtLb%t>QtWGd4FowXp1#s@)ct;qI ze=D#69TUK;!|*@wEbMG04V(eQk^&rT>|AVIz>AZEgPWfLMMMO}#Mby9F^>P2pohH) z1xUic*}&S)3O6+{l~|^$%+CbZ)a=rfAMht_w?U@<(-{P9BnB;k|wSe zMkdNK;=2C>n&hBIq-^43=i+DtaN_?jgP5(Y z9dMeR?Z3KmCdL*9;&$#7-~JicIXVBmbpIdf|AzffFi6;0+c~P(8yJ~T{EH1m2^D*a zf7q1)szCGqg8on6?0*b0js_n8W(57OX#W{5qhdhuPuTwm{lCnCiT}Au13dnD{cCF~ z7+RVb{ZIG*%Jv@)|FC_d_+OR&(f%K}UrlVyoXsit{tKbBg*6~81xVT&*fmKLBRgZ0 zf3rI|15s^*;+{U|(^APY!)Ue6*Eao^hpY8h=);pJ^m08*|MvCud_?9R>u|PHBmlba zM)ql!Gx*`*=4c>GPejvLrY6T?p;PkjLBu##uW4MJ!*HdZxo7@u+Me z2E<;^rlSXSZRWh+;|3bJFR26ma;|gURuE;T^KOG1nQXaNUX+Qb@SS(RR~LemwZKL19h#jz(z|XO5|Y$AzPF)B^3m8kEXH~c9o;n-KY06? z_HHm@-ci)#XS?@9w%h|WI?Q;4zU$dtjNjo!h?I2P5R$ft$yHs+o++O@Up>4On zgN&cU@}%doy29_>+X8yGw9oI`E4W^le6=RL9e=jC?@>j5G2~MvF%TAZ_J9FOUmz&b z@$4tw=e}aN%LMj9F1{@4NRixu@XeK_*uYSLyKjJsZIhn^3U0WysaJ1?-aU8{ztrM| zieuVF$^=t>8- zp<{(l!F{VES=;vPA=F8KSOE}>d}*cPiW-<_+J`*ryobnPe+TPsK3Xs&=H5U~cOQx+ zTHbI(CcMFGWxqaS2Ce34B607>v~nFu1v*K8Q^Ze&w~DyeiZh%%1IXuJl6oeZ?`X*& zF`b$td=(Be9MBu-w3A66h2{kj%%wxSmx|k}bUU?Tt)=uLVQAolwD)wpo840&d7YPb zRHrxI!Z*@7KJe;Lk6HSq{hs6SG96SWDrD{{!fbQxFkPxhjZLk2J}YkRFE<+_RrWY(>+8B>2ozaDNlew2VALBzlQ6A2JIXc-=?6C(>p; zf5*&RI=8-TscgDF2;tW7_J1yWLAiPPw$k@Gqv;-@b1R>9>FVEMTo4?f@1VTzBf2Fb zf0zqvXHRfStUndZ%lFohkw|W7Dw3Cv^`T#*euppyBKILndylY6C**Mk*qLpJWozd? z^|;5Jw|+6qcN9rAilnWdrQ0XL9YxBhp$YbRks)+n`P4sW$q^| z9o-q%BaC`pHfQIut){qDTg&Y|puZVvklX3C&1Ba>VSBv~BHMaTACEVM`)qv!^p{wg z2G`~6E@Zt-aqqT{AUzqb;K{wt5_r8&XZn~zxTXu?S`^oNy|^9$S6oDT^iiqkp1<3j zhf|xXRtpyWom{1CHb$49@$RO%&AwnBteCBs#5fi@d&US_WMLPO+YWG^)_;~y0BWVg zw_VAb7{L2z#m zh*!o~FXD>4#&3F84?pN#Hr>|5l7(Q73mJo)Jk%JnkkUF`rcA*OD z*<%6Qp;<<^k~ONa{TkIVHF@I7=Cuq!kvF2 zH^RCSN%kYs&bND#e7rqc;2k*&uNHm1%Gv{-%E)Xb}{w&ZuZdd9fDoS8YgT+d&7NJ z=u;QNvG`PEEAf8tnSQ(dYjE-MDeCG~cWjwtrwH_z^5-4FHc=jwphBXY-28=7Bqdv) zoQ}*_b!&gHJ5yvWFNWMnygU5}MQSdLoD+wO^;$b7%20P#ja~YhZ(dE0XT?D*&$kt% z%Hx%D`phwE)!oBR{8oQi{B9I;wj#b~!bj%or^3e>5A!XY1ujgT$w-x4j}RZYI-N4p zV$mw3v0sH3kSnW4bwZy4M8doR6&0y{$f`xBxmE<}Mq7q_H z;#D_)4i+3RS)mX_TO41NDt)2T2Cq&Dl;cH`_eK-Y0&jmB<5^`^G>$?;))ypm)ErtT zcSb4{nL7IXo?YlWd{tKSp?U=@SDXa7H&lznSAL<_>baU)g!aSJ9J$}XlHEDnapi8| z2jL&no9gwddp-xA{nUL!L(DB-NrMAIBTG>RZ>2C~S)nZTa=N6ygYwdHBb$lyB!X$nuZX78$eGuj&ldOj3j(o}Jlw8jZ;TV%kH*q9oy3ZW4>8<4@`M+WD*b&Hn!%L{ zBfay3Tb#JWEz04&+yl%qnENHeV3T2M6sA;8(`dBXVm)m2TG;SmjCZ>PhDtZh=QWwp zLY<~Dp^j59`dbfjrRX~fCb~tH`wR7ARn*!a%P9L_hDMz;^OnI;sELBfk4slDRSFkV zbt1Y9c0Vj}K5ge0ecnl9mD}{pcLDEGFsv0E;)(y>tmzR6x`}e+aWrC zS&{FT}O&(y4c-F$ek7qH%4%##PlL z1s6Cv{0NFlZ3tyeTLUivAPg8|kLVW#beyoAyv74J}~ z`ZO6e+FEs?GEHUCE*4%2c~W89=QZC8-ihV1$!T4m@4P7W&iGdS<$AG_s#%lM9Lc3; zybNJqWh1ybztSeH6=!D`EDT1~7MCRTpKv$-6eh- z$j3`_%`efI^llZix;!;ZrRA^ieJD5f+R!5r&#oy>aswVn^K@H%`)|q;5nY&{tZ^&ghJI)`^r& zq3|u=Q9aC z6y71*I*fphUDgJbpv0ULM{l&vWBn&;qR-}8KYi`Y->Wzsl;Xsid!eyA=V@tNei1+j z*5Zk5onb{4Bk8_Aj64?R;uW_SvCtjm8{r-Gre1R7dh~g(K?YX-#TmoaL$A>9 zU!=+yjV*{G$`rjQ_9bMJ3S#SuywW!J?y8TUb6wlB?R9c<_eNm*Jm0x=kR0Ol{>mHe z@a8934C~LD=t1r2F8viE;>{nZ&BXLwUOyV+?a?xn{wFI(W6mmANv{;dHS;=EBjq@U zif^Wog*TIrq5a;Uh2ikiumM&`|0MgyosWf&@Y2c&X1{t|ONQe@+889w4Ub+7x8gdj zW!_<{p6+qXf2wY%_FHTi=Zs2-8*Q5)4z8|#`EL|nt|J2bI!31Mw-eVIS|61>7h)-~ zqu#+zt-d?lX->m9LUaTocph6PX$YT}Zoi#WL)>$7*e+Q{i(#boKz>;)B&6p}b(TZE%h zF^7FbIdbKUdY`;LE`y++N3nd;LS_e34P-q1zx$+q^i@BR@K$!{Hz@T^<&+^bEHxwk z2-i{?v%qJlx_sx3MK@eZU}!2tR(x=62@A{5c8@ixR9^Z$5uL+n4ztUwIvi0O|FJ$D zJ~oYO@EyjSA@$C&={Ixg$AT8f)XCo;pMHq2$cQ3xs~=+GEYqPAZ|lrxT7-(C3o96I zhT)FP!p4#0{hbM*Yi43}Gg`__4#HT8B6E&}op9cC!|n89?>KLbR76|Ji5Y@?i%DAsD3H`hz$R*^w@qmWr!g{s&Z z&RVKxZ_Jcs;HDT+P#(Vw&&mg-hGqlG1#M8AKz~L%GOA5*_hYtyh%(=7u{TeoNN(yE#tH&jG z&~!nUw`U`?-Y?`7D04;H*aLTda5RdE{Sv(kRL{?GhNuxH*}eKyabj7avZVcmRsdlbM-7HEo(IYtgzRT zG*ii4e8=Jz+pV_O{zEv{I>`}#HyFN`=(33(-_%&N?MY;6wPUm5L8cwrcX}|6y!afF zF>ALUhRZ2d*4}rNvA61Jhd`ASs1EWW>DPhUh(gF?jA8`?l4{lI$Gfy~mEd?hbEWbe z{Jw*E++e%o$7lS0NJLaw8i(Q08`kVCwqXMW7{1lvONN*gYi3^PVHK6kuiPoH5*nW- zn%p{d<=vI?$GuGcyE7FO91;C~k9E|on%K{JA*Rh!9Gz`AKMMjIw9b+U(69OBlFIcZ z!^t`}84BJDm*pQF?osQdJGg>b3r?p;9MUjh#Q{EN4~Ti8ZvTya8&b+}ch=-bGS2a+&5V97YJ zumiaQ-+6{;BvMKe$yiJi)F45ECHk%!BMz|f%~O}J)$DiwpmBkl{HtP>J z%*IuS^>z5+to}pK;8T9`)-ZYhM<3FPc+-7M|NZnT3}UZeYXvV`E*k369?E3P~%kL^p#OLK5{rk2}z`Z2`zx67lYbNO1 z%R4&Bow3>~X5Y}61e*z;7e!(snYxuZfaREH@oK|WNOPXy_VjuShp(RcJv1koMZ}k& zPgML@YertzZ}HkaU^c0kOUSoO`+ZExvEE-}>LmcNbJL-roGM2^4C)f%)dz_wwMpB) zM{gG2L9&$8JQI{BajeJL^{WSoYwXa^1+LI>a&eA*zFZR&U~^PYUp1}Tz5O*OYmJPK zp_Ga=RqR(xzDRO@b!?&v--tcmd@%t(LMbO}CNo3>Ps6Oxl0^gcBodgsAE7x5kqghB zCHfX4hEj1AhdCak;9X3AaVG-H2Z?eX=ec_!fcCC7L&sIfpPE)+{9B@V0#@Hp-Krye zKx4D~o!{DtuP>N?!8KooD9yl+M&Cmz>+7@UF2j>VRaq)ppN7fB zi6??u0cQvO`*CtO^umHF0QfWz`#xwHwqOZ81-EDtQ6L=hM0^h}dJeAuuPyw2aR8vx zC=Y(tx1R8FegI~ri^iM#+SzBYhu8X9RnwgVks)wT7ax#OBS1bvzLgFip9RcG(q`)+ z1$4`Qzi@$osBMOK$N@(W>L8Eb0b#br*5;-G1lJ#EAE3rg#%Z|zg9He-61w?cw{TOB zSE5=s)*i*`tQrlMXg~AIRm!Edxv^^VD@&IOABZG>NYZM%RISVx-Wa)5-eS(n{*Im@ zp1UfuG?D5Am#V9;lqPyT%R!56d7#CH9h)TrD{2yUP<8Z^bKx@7JEpGhj&-f$?RrQm z`0T^EmG~M>nwrEf`uEp@-Cgb{t>GB$8WPF6=&OHhHtx`GdXVQ7_Pw0lKeZ1mcS!ZW z*K*u3qc6VK1eNCCK0lTaX*-k!<2@mhB@)BWO_DN%Jx3t5#74p$BRAbia66B`K|MAN zcrBTd{gdlVo#1+h>?o z=~kl;%{JvBl^QqoYol-4H53-gJX=+LFr#(G;EpP)O-DOJLa&y%K|AY24Wo&a!lDwI z`MV}J&K|{?tR0?9`Q6;%$s~^v3@ba4UZQSxE7lmujb<;(Yf}hJVs0;qG{?5-!RGu* zSnn+_sE8WvW=9#c;VHj}@3ks9cH~uj8KxyQKHX~3Hrdp45>>Y>$AwfJYcdV`yjys3 z&-9w_OX>v2y1mVDlN%yElhMXzzGo*+&o~{A9*2IkqpN6hVsL}_EDZ{$^uNOl(v^A< z?#-d^VG`mUo85#3kw?RK{^0cKL4-L(qo{`p$6%VsiHvwTZ3i7R!#+<5cT z_U+heqr{Jsv>)4&Ln0&l7=xkLf(=2*JW_e66#JksjYI{Uioc!~= zGeskE)Z+J6I4JPJU%n zBKg~LON%y{=cBbgG;1i90+$=jj4t9SkB5xsuZ)gs(#sjP6L%bZ!ycKTT0mVU)wQvb zM5n&uZh490L&senA5ReeT-y=P^A|prtcLR}AR697ux!+df!!RQYaFuGUspjESer-F z+jfb{ROuz}O^+$~q}q#Buai=ordA#!5IR>xb^2X!D(g}8P$KJ#iw|Q`IhjZ%&3>I0 z=2y(&{SZEzb94HA=#7mA1k;c}L*cHMM@dP-$vt>z}-@ zI1$0ESQOj;{F72WU28npba2v&nYBvFC;0tqwL-yPN||*2_%3v)GNrj|QBH%QHp_=c z)zaIy-KX+IFJf6=&K7G=3=?RWeiLyJMxYMEHvPiBE(!f$d4o~xlh1&Fthg7>xiE|$ zM2*u>*jQmsIp99kdHHNG!Mn%$0CDiCtCH$HKWuo%$;S`54%%*0!5^oO-0ElI9^_Z7 zD4?g#zbx@#JLr49PI_#|EEKx###u*<_u@bCx$VwImz^r?3p@@$u1H;{G!!is%|%Wz zaIGT=n5?j)v@)T?&_unaj1?LEMT}E_y;$K(y6ZV?9%5R`-+)L~kfQBXiRg3Joj^9) znUYc!P02*{d-(DD&f;87Xd&o`HBPW>H4;ZW!B^92>eS?K8bf8GFlnAzYLAJkX>D+` zs;L_job>RAK|Y?iqS8CX#heGibjH2KVsryDg6(}~q3_25VFu+Q1P^W1&ie|_?ETAJ zJ;h0&zw9(7+>?*EWBcY~GA}~Ru!a7zkesFt!Ux{J-P50J)73+6vbT=-$~213Lodl}Ml*oX-fA08l8#~52NY5SH((=cTX$VZxr zyt}or{6>62E?^u6a&L zL-w)idV^=ogWxDmW`E&p$&JxFE*8;5)AD(E8u6w-HuxXvYzci5zMlkha0nBvw0Fa? zdG4jo7C=h0o+QsbklzZbp`hhw6|NqUrF>t|YbKF1WsbQ?AXq+Y|D1Air%cx1AW|jl zt;-qyQ`hj1(s#;}WrB%aZMQN)kHfdK`}<&ULM;tQS+4P{mUm{mzz!0OidknXq$6Y~ z!MQD@rjqukD5vwgbU&`Gg)0)w_>-tGiX$n>l2S%+-~$~(xMWdStPF6sZ?#u1z;UIz z4WsPF8o~J6v;;5bWL9tKD2$}zuOgNL?T@}_jJ=7E=o1AEeR>S)-1N~7+}+GEiHV%S zu!Y}#K*tovK*7;!wc>Bh#xA!z+*lmCr*OyY7Nb~`Qi2MN*DOq0H|4C45av)#t9F#e z!iUxxErc@;rZ{)c^PKuOtLuIw5pNYwkg&{9oQaNMB-|AVe-UI$uD(4g!Z5D8|CvvJ z7?7+-IR>IRbwG;iHtz?4o%S&{!zeUtI5AvhDv^f^;o*hp!OMivuxM)MT1-a zP{yDnMQCGM!RP}MX0wtTrPIXo)htJ^)^c40oi%Db?;51lK2Mt;gojTa z{X3i{4$0OY?Lea9pqq5WpJ-eQuAIG&o#fDKS8ow}y-Wh%r=v>18Gjtji@Fa#ZJ^$Y zFjd*Xy4GS@$()+9hqci{bICQl#_s)R2#KqM%zJLvGE^3ZG2`cecFD4r1X1<9S{W0f zr8g0^@g>Ky&Na0+DZ!sE)h$gI4M#{K>g&@{Nu?8IaQn8YQ~HxukCMvpta7zNZ1Hu! zH?&ZFELXW#+dpk`Q5_bmJbGxgXZtWpYkq&Oqp_f#%i))}%h9iZv%r}TH zdUHr%7@3~PSuK|G_YH%mV9O8WP;9+jV5%Y?$%06`oDgOj>u4H2ND-w~OCjiwHgt?T zbTWoZEd}Mc8T3|O^dcw-!nd2;qYVsiEOgK?d|?bxNBkOCHC#_DR448GZt%dfD#yG0 z24?<}OO&7)K{Bi-aRVa-)Lb0sD7tBt^pnGadU zl(ef6KAsIs-(79UjqOOO%pBq1yNM6TUJaI**B{4Ot_&;GT{wz7=lH}tXe5}tTspXv zVO3nzLeosYyR|l!WVae;jMXLkWSo1QJqc_==!^=TBYz9d^&e^{TSUzTtXD+8bxNPl z;V#a3I{cGNZCo>RczQbte_I@bBmfOX5+HHx4;7$@FI+=Z#pSlj_%ewmn-`X-x|HPZ zX8R`Iope1-b0VZgYjA*Iu9eABtpIKj)oIBX1f`CjZD&Of&77@15oD3bLrAA3e>#nl zR8y}cdUKXQ5-bmnoj4Y(X~2^Sa+g#6Xq@~f(JU#W#YyRyWGAZ4aG&ADUaY!v(+lmmk#v24AywJZ;qaEm&a}$c2;;}!v45Bu_vi| z!>TUI#r4x{jG^}v5jxb3D{5*}rmd0?va-7T743IuPxyh3n74b?Q2w+8L4NS(r4&7< zH6BP)yt&%PvKCq`t?qrWobOXDYrO6Xl<#(Ce?;B+P9y>MNxM{p#c7%rJJOk$ljErRv{gn# z>k*v9^Mg0%@PnDlN-Yxy9Qd$YjAQtZH<8c2iARs&+>8UF-4A;B@ee%%-=0m7cI%ws z`8w`?OVda;%5Vn<64ft~K#&_E`_dF6ZY$~3!x&>Q)c8a(71jd3uh2xkIVY-B)_yu! zj3O(%X~Fx`J$1>F%cH?-`F?!@YT@8ie}p6db%uG0rebkV?5=R&B|JInMtS_DTUM2@Nf+*{75#@X+D zUB6-fBvmcA6fd(?m z<;}Nchkk}GSgbk;ADwldMlL=E8U)Yw75kAH8>tRjxJEFAU9*<8RasmU3!!pr`o1t5 zztx1I?&v+d5SrP|p?6+!Sd$=QdW!Ct{LE^=#ZmCPDUI*{k=gm<{J0)$RDG?TM=d@R zdGIqCmdjyasO5?SZDK?bq!;XP!Ld`RufIJwH|*Zq8eTRN#&|d4PFU*ddtRXhCvzI; zdBK5J$c}kEKB7nt*tbnKOs|$Hw45Y&Oeku|Caq)jE;#}_Jg9d@qi_f1nfoHHMeAB^ z%~J@+zSwhwUWtqaeeG)|?aG`ECbTY@-lqlyJXq0-96G=H@n{uRn#a4$S{h5vAtz& zFc~yj(m|jaCpx8zGOl(~`6`QMUnc)tOd%w~{iCQ>Llf}?wIlcp(@T#EX}_dVVY^y@b2yBdf)`Q*_n@F^o#U-UwRzQdh- zIDf}A&reM36n}ZN}VeFT$AwcW3G`TEpZe+?m98QPfnD;XR*^u1;%{f@cyf z?&Ov>xAl=E^W*zp<5kzGk8^QC!>VF#=DSHKVkd=2(sR^Xx>!m<9^{8_&LnOinEc81 z(Qs=a+3I|6r6x)ZyCR9`og5m@4M<6exfw0Ahf5YpeI^_c@^EV;-)4sYee0g>s$zC9 zw4+ZKQN%7Zh*z`YS<5f&WP0u#@3`#e%gYuW^rc;Cmcw@l(O{psVF_PEnzcu|+FgBh3iFqH4%lBny$69Yp!&u5(<|;=I-9O~2 zMM+e+n9u}ZmVYq#lUgn&t63?HvGC_@eg9A5NMUuMq>oLEd8j(uj^$>mkBpj=mHpW} zrs-B)bKfX!^%+zl<<_*fN@s%BzMAw$P!kg__r0R7t0l4C{fGzu^EmBeCRa>w&csYw z@6E!OEDe#pTakf)JN^~EJ|P$mLY}Ldz9zNk*6`^e1G_cHL66UR-Li}Bm|oJlET~&k zxf46C#1?9*y-K_<*m(nJ}N6x}(v&8Cc9&!jG7j2_-aIIbq>+=Ooy zUay^~hv`(`W1gzb*&^;8%WA{=SGK*e#ShFn>8CBoK){Y11io(=8crg8<8V}l_w{F3 zt|be)bvG&NZ6sH+BtM~bqohGpl`?jl(mMyD_EfZy%Suz|aHB@NdoJhkGX?oY@{o+y zNa2z!j(FpjH;W3cpx=2GE~~~^Y7tW*iM2yJ&a#gd*7oqYN64%CLD>jM73EiSo^>p8 zm%kj4)Edq%dWLWLgzC%5IVGj`ChhuMBxCWarWv_{Owl-P@pHfV~St1%HB}*!g<;0zt)-y2|9)g+s$%%Yfo@So7qY}2iBtSD9c`CF`eAuZi|0aE;+CzY={hXSN6tkE3Q zZ)ff%C#E*?6npr5t}AfOtmCmK2}@m8-hn1~r4yspn1`c$({QKz!^(VSxZelmrKDY+ z`YBY|N;k)q=RL|KIDn~UYeohg6cu4sBlV;|o zCK{ci`S9$Syx+P)p1Cz?tcs}=sg~&!Zekr@IZVDxSq&@(^^~>yb%*QKQyCfIeMg;D z-sj+55|$f=G)v(XwtXj^dC#~Ti@mgw&+APYHXg>xX#Cnra^?*uq2ij>XQ9o1%|8Bp z->muZv)k;qMGR3Y5ZM{iMu>j-Ejv9pB(jLu=~(wo&5kRwQC0lCeP6DL!`^P)hyjE8 zg|fc1-XX4s*i&t=?CMPDU#=%{4r$h5z5%#~`t+rDEJSRd6SOrT^yk|!dcTg)%sO&J zF1OCTb`I$Zr-IUA@fN35cr%&n6-;$K(?8P5u5yV8S!u0lPBJ3*y7s*weWUwzi#m+@ zeOiC7Bh^NLFllH|``U^=#RQ{Y2l+)kLY11W)%}%L^|cH z0r;*#8AKQ=cP2(ud79mPVxCg-D263HZB^s$9dlSi?Fw=Ywiu$7ubNW}`)k7OQJu3} zO5{{a5Tp7xG{Ctm9ov6}I?C~&c2NT(hb_vCFodLm=iNhCw(7;8$!PPZ=`L5ZUyUEi zbR|#dYPpky8RXja<{eAK5wBp0#&I&#hVnS!c!nWw92t@fH1QD85Q3B6|23p&;a$X$ zFA4efJO|!RtD}ar7+(sdmQ)19x*m=6$37>qnF{@|O{fm=uWBq&`5Z(HZ*++x3kM;i zrYb1K2z~=L;e$|~pqTu-XR7e4fuL%H5gw*YYhBM~h4Z(&Np`obX*Gs#j(9}l)dF{R+ z!kNi)V+#i8)zU^I&i8I=VMnOvv?{@Ms`YWg&-a$7eS(b&Vx+LjEwF~>fd1fgiXMB6 zoCJ`4kzdA@7+IbFLegEctzNZ=UzI|f1xhy6VsH9!XMmp&lSCQBRFx`!JwDugF37aq zF9XyIZIp*!K^v8t(^za}^iGpphMZPS8lkPWZ}m&fi|EdAPk5V@7JOOa`!H#4 z*m)VrBAk;@t%_pl894MlCsHO~;Ku=>sAt{h$add6_U_EXkJ9Wq?*=xoGV<9DssqyTx4QiLaA98C|;Oq2xpS-4%_qOs=bzP(@`ABudLu~n> zMACpf-$lJ9zsY7r{7-jg*M3T@k?5e548$J;|Ec*MDZ4ctSdE0zthrclwXH)#j@Dag#Yr4{J-x>q&Pg^plT&bzJ@bYe>FlZji zyB2e!O(a8O*hXW>jz_Rz|HNDQBnGj<{IxE8P*<$IcH*p-*_zf4nM#vfxo^to`zgaG zBen~kTEaazF3#5odx@I2i}#pj%)##5D?)P}?lg645nRqm%9^BxlP7~fyExOx-A%9h zILmGvHd3XqZx4r*z_6F2(oYDn+xQd{*7J`y5&9JBNgof||F|^lq_Q|&jk5mp=&$dn zF@(bHq&B#Z^npHRE~=~3jqc$q>R6=-clvEwTw!TOMRPD&;vJSwDd}lP<+PQC5Q9$K zHws7*K@}dN3-mh$YNwA8`X4mnV=Me6%Sx*ZfgMMmrULF!c^`h+@R zJS?t4M?h-8veT}Ko%o$F1ZUNVBXzpK@&yf&SA8HDbpigXUtib%8Caa$7+C(fj@RGz z-@^~eF3&tF7hItJOvaNVr*#BI+o$GqtncB*X}?>PTE(-`dH$n$TVG!OhV06-xp zi_zdce5mxv_iHFz_+5twVx<1Zi|6O;G0;b{Zw_f1ptMWWpH_`}LXE?qjj1em>!UX@ zHZDSqJNPh5b+>2$w2wtgic$K0LX8q_o&QK#%UY=;TLF-3 zY;1f`$M500G??^M8!(c_Krkf9tA~F7ah~S|U!eL8@W5x}?Bi3!*vzG`0dkWqSANLA z@BBFXrD1NjKRKH&pVDNy z?%{D_F1D~Hzu5I0^$C8DHNSmdFcx1102v~+aR3u?c4g4HxxlY`IBIBAU+%aL6Y!#3 zW~sHEfdDo;2q)4vP`G~8*86c_t009fbY50Kq$sd*U}n()tQ_l-w)CKI348QJFMxJC zLoC`FhXBBMtD43LeVAWxw3<)VbAe$y3^c+39dH)Tusp!9TT~4^w!m3jRu;{QAYkVMH08%m-vYSZa?5nC zpl~ZqB_{Ylh_w8yb43Pd2w|x_H3$Q=l0YJY+xQz=#2)N$M4d1XG66oMy}$%4q8MX! zc3{}d2V26UF;ExrbiLik73#SN_sK8FJ-qv!^t!&K1{ij2HvhObQ{V5-)5qEU3RMvy zp}zU7KMppe_YV|~7rE{Mo<3%YFH0l(tdg4=06?RAO7w66g`4=g>8|G$0YLarJLlyE zhV9v|{LBW1?URTg)&To|QW>Qg*u&2rM~|W0!Gvm*vtVbH72?< zVKN6z)2A@U=wucYdw@5$s&5oX26p}HqZrc@5W*D%PcIvQYrW@kVTrC|P6zeO_FB)y zeILk=Kb(+n^okb@3l7UZOr8<$>>2^#coW;A(E=hoWnQP90VHiE6z6C9K`?FNr(jOn zazMT_7OoE}0Fiqe1nPU>XwJT0f0+cpzjc|dEsW6jYjnpDL@k8ERj(YG|2lJo!a-jo zr>gSxp>Ws8y&3G7zk!TTT{Ww*eFQ}C%?rFak=n-hvwLrrl_bUuhV|r7OA7|Xd?s_s z0m2#FmN$`j#sSz>gNE7z^gljVl{tw&z|U%o+^rn{UDRz^oWWk<=X{JZ8TF2av(S6o zvaU-fypj2JBp4@Xc6*7+xYr$?maycxb`PID(xqzqItP)0eL?OIaDK<^qB?X}PL_wYB|EKD`^GXPw6$U0Cs#KV?)ec&;8M7L-xW(6}p3DVIZ6K)3?=!ci zar;3Z`(IUmIKU8ac12zIUZJiKyz+MXalBY5u1Ect-!Rtyx(J0+ivQUIcDO=id#TB_ z0d@xXaCifOPzMu`VLw4&=s#s71!_K2Y{F6N`L000Clg^K&0x5i_&SUA~7WAS7JChfC1!%DV%-Wrn^4`9%Tw1g885dCwFGWH6YL;^)=v2L1*< zoS{yt9*~4<^#Yi*{!jRDuC@oaL4Fy;TljF&<4sEEK`^N1Kxjk7yNb(Dy@4!*+%|WR zn?m85`KdbNOd=!oVVbOK9DqWwG@`^G2UL}{jZ}@eSs>nmV4STOTOZ&c$pPw)!faAosx*4FJUmxLqDeyFLIbCo4LkGRJiS+q>ab;vKeMJQCRvI-o0bDj9 z{<=f5KoXu=WtAlX81HW|-I@~hH$Fapc4&@4@`P^TXMg==WTFHlES7!^1q)p*a6JG& z_0p{MO6g4ycf#!cRSez)f~d9$pVSAaNy>MvTpK_!(K)kp0K#z+)^MpD47-gVx@lph^#$Ual@U#X&(!a!$+A(p&1c!vitC{7e1^Ul=8$~}$mfr8E7wm>nZO0J z_0M~rPQNW1i|a9vUz?xjOO6$A&rpwB>&bxM3A1BFZu4LUgpmGwMvIGHpseoZsmTDT z4CxeODhIN((>x*J==h(0F>yXNPC(3U(Si+mWvwnyVX|B$fc*m%u!8-QYfq21MMYju zwqq`{M17#qC2lo1JIx!Sr{sB1J`v%sRU~3m{BI5Ap^q2;{kvS>ms!z^{i@f;`{(uN z%ZaSl7vOtY;D;X3!S&}evqz}c!a9t3UK7bSXF)ydNc@k&sne?AJ)QE85$ z>1sbei-}bCU#ojGKutE;TZnK|#j82P9y=hZay}Abou_Y!v5&;?7N5)3p90q$@R~Aq z$Ti@{C@U6k&tM*Il?rnZsSa8 zU0K<2NY9VumJcAsR;;}8Co?<$J;8Z!ULw5kJOgI00-;felG&Qs=iG5NpPvoXA?qBs zHILV(L~yBmoJ{G1Gugn6gu@n@S*~00TS#^1b$Lf4WB9c!K;g(r&x( zRPdmS_U&o*qo33lRpf{ku#ehhCixbyt|~lehcnDUdI0XJidne7v)hoe5Qm1dK$ut+ z>QXCaGPgCDLq{lQF+XOncN`Y2J*Xk{b2*!dRj}u85r;}Pg2ZEdtxE7ozXvt`<4twZI*4Y&{iok{;#;6ec73SlEm(x|8uW@N!&qE)C3rW%p52gNLnAm?NQtMhp{aYS!nh?0f06Zj zSJc1J{1Wtwlr-VyvI+V1PW1KhV&t_aD|&GINP)U6a2n}Ak9u7pbUfI7Vkpsb?q4g>f~Y*HT@fjqffp3V|A@3=aOvU+fz{$;bW|R zC1T|8t3q3s^f(EN#Kg3&ZPI7n2xe2xvq(ed7M2ct*4%!RkB8Wq`LO+_DIthZ4 zU%;(P3%-Q&s_`v>KM5}{dwz$9!;0>X`>&tv_qzT0`?fj#MW{g3zy50v!U+Km4d617 z>BU=c2E;`Y7*}mElj2<&uZawbrE>GqUYTof&Ir;GeXT7xlEXs~ Tm7N&tUxsI~6eNnCU%TWCw5~St literal 0 HcmV?d00001 diff --git a/electronics/smd_blinker/blinker-pcb/blinker_etch_mask_bottom.pdf b/electronics/smd_blinker/blinker-pcb/blinker_etch_mask_bottom.pdf new file mode 100644 index 0000000000000000000000000000000000000000..7c212d17c6d4e3601e8be2af69a3bbd0220edcb2 GIT binary patch literal 2216 zcmZuz2~-nT6djeiOs!Ij3kLNg1wk>hWCsK#7+erAaUp`sm<%)$GB_C&Me1H{%VH4m zprYuZ6{!m%s7Fw-6x_h&5KxX4t>Dt4(t~TUYX5{)?c`+Uy*uyyxBUO_AJ@b1j-Ta zh!TnLfD(z|2myZp2}T$s8ZjUgl$B6skyzWEg5CxwnIKNVyB@)8IDjaJm?3IL;*iDg zZh-H2e->H6M;H$k8p07C9qAbuQvk)mj03z#oDkz;LQIlk5l(oZzP>1J(({Fp-3we1 zO9MpXLa|UPiZ%lgG*EYQ5P#w@Ii3sDa4-^zX(j=VjpKzG02ksUis>jo2yxi3 zNo~(bQ#BxxGMa5RlsOt;!HkLS%16>Zd^ilxaWrcJSOC4uprh4+{_{JMmUYVk2Ec_1 zl8{K{Qc?zNkv;&r#+G(-n`Nt&FOe^lrA=IWrI?a2aZoh_IW$+Jn3^^-ajXuug23C5 zNhT8m-xK`efCe*Yy@B#);z2n7o)C!uK}zO#YnjcjV-+bGBg1N9DIE>?MHQ;l!~(u( zI1n#(Rcq6uI)FfyTEP#Ab#~k49;l%JKO@k)Z4P9BZ;oxkIy(sC@SZAI81KZ(VIhl` z(7Fz_t3|e&_*BC|XSex2bYveyo1(aHfTAs=%3y?31Td8m&VB%`WArpXx|xH!BLROx_T>zp=?K#$zUv&&8mxp`-ltGDF#gR-R!dPB9m zztY!tLUnq=+og%oXZ$jocD`V$UmlS)RcME@ukhe+4;S{hxTaUNuQ>I=QLSX$Kj90C z$Arxt`6%LT9ew7Q4CZ;_Md3OJol2y?cTHN+}*|A2)%Q8fl zuh?GZx4i0<+a2rm`|(RwOK!~H*iu(x(jyb4EB(dxb?TIqql>CC++E!>Yn=NC*!yKU zMn$W2{YlJN86>&Z^L0kD%UaK}-geHvm!~xp7@hhx;({ag3p_Tb@A_=)h5l@z{V;G= zDKM+Ev;s4@Vy*bIPM#&*&FpDDsndJTOLj!omv0_smoFYWs5mX~NlYWUGVkr@*WE&j zRx6lespWZnGcAT6yxbbXk_P51(p3(9*f7PZ`t{A~dz&u~>XW1YI+yNaU+=O-aX^^8 zMS(|6YkZ!xE7)a|cV3P~IyA?5sud&(z0k`M3zz-ey81BY?Y#S4E4ej!>(!BODqNCi zpFur#2F3Y|ULOC_Ju`LBZ_9pKxk*~zQ~O==>FI2+G{vq(C{9y(6-hq~r&C=kJp3f6@+>!PNT;q^OTy`C5NikP@=e|iaK zcH5S)`|XA0ueKIl9G}SC~9X?na-pA=sMwsd{IawnV9NK>2^xq5q2%U3| z$gZtdZuDxI<9lhi)85Dou>t2eftDd}r%pM#Lsr zD;4ub>32F7?$~M#FDZL;P+e=;QFJ0Nc5_Kq>ahck3hl$D3PVn0rBQTkoFpMT`Gne1 zkYYXfc-o$^1;+=-RZA+i97J-*oVR!Ecj9hnn{(*o`b+1tAFQov*&}iwmjW|Ar^?SU z?O1Pk0JLw^_M?E`)cihIkg^V<<;lNe>vu7x8O5d>^k#sDqdcXm(fN?8m^jET1SB+; z(P?N7Kmrd?0c;i>&jD;|3?y3r_NTHtH^#GcBnnxRMDflGNF@@H1Vn-^4CcmPz|@J6 zBFK9mVuT!8e1zeo92W7R9WIhVPWuQG%RcoL6OfrdvXjXn?SF_7|AXNY#i!%Rq}_Zu ymNFP=*7j+kF|4Hd9$-^Vkql@*SJVu{0sefpvALO}SkBfhLavabuC9|qROo+Po(*FF literal 0 HcmV?d00001 diff --git a/electronics/smd_blinker/blinker-pcb/blinker_etch_mask_bottom.svg b/electronics/smd_blinker/blinker-pcb/blinker_etch_mask_bottom.svg new file mode 100644 index 0000000..06990ec --- /dev/null +++ b/electronics/smd_blinker/blinker-pcb/blinker_etch_mask_bottom.svg @@ -0,0 +1,144 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/electronics/smd_blinker/blinker-pcb/blinker_etch_mask_bottom_mirror.pdf b/electronics/smd_blinker/blinker-pcb/blinker_etch_mask_bottom_mirror.pdf new file mode 100644 index 0000000000000000000000000000000000000000..b8c37a028a617466837a68195847784e7a1fd04c GIT binary patch literal 2223 zcmZWr2~-nD7+z~V-a_%@X%%!-Qn5-ln*$P$2m}Hs37Fu)1H>h4;zHQP&7z2cR^+KI zB2p_JP!(wv@j{J?)TcfX(0Wt_QB1^auH!rjQd^7(!GvBNr)KBe! z3#BLyM1YBjL#Iziu`r4=lfeCb$0QU}u_VDUY-cZ&Wnz;Iq`iyGK{x~;QbdTz;a>*j zNOweqL{5T|7!e^j`~gIZFi1Q?Aq13FP-c-tdrLrV3Q9D@3E0LWm>mZYV&dKj zE>9!@rXo1P%$QItlrWJNAb}Hfy%eOMDflOI0eTL4LNUD$;MgQy&;XH8Bt|g<0dS!R z7R=|v+b2j5jD*=@pF>#U0j6bWvL_x{r}+rzp5sWC2AChYlroUI0N<#tpuKv<03C>g z3NbG8lzWQ3fZfwaK#y(DUTR_XT=^9FL|KyNIy1#o49&r=1<2ua9g68l3zNheU@36X z2gHY_890vfn*&bJkg*iu%OrycejJxb04|nA^(vWNuWJ{n7&F7_6A1$e_)Qh6(kB8w zX=sQSd$P6nO`H!MZC5{qUM93b%L_tc z;z&bRx2Ht*ocK^9KzFtII&?)JOwuN9F;IMPsiw?ui2$ZH!`1gA4NNS_TeooVbR?k3 zdDp@((4zw!&pw-fwRCl*%E=_RwC(X8)W^{^-Q^1zHRQbi?Jt|2<+=oYoi*Wdv333d zGgTWYw7qVlwnjecIAd#i|GKedtmmq-=Crp*x33vg)Ur@mbt7K}6a)V}8FG7mds0f? zn7{R>%GzCD@5UVWA5ZW z7Zyxdu}B!~q*xK?7#h7N)4%la!HxbSM;tKpQ`T$8KAM@r5{kIGTy`>tt*O#e4v|Bfe162%uC;-CEXuDE)kblJU) z#i#bC8sE>28!*-FoTgdw;>ccG;{7^PV{}`#}zHx;_$`lxv5Kz(t`d?664_dL(BG0 zT{vXRxML+tU4~w~E2+xNWv^dX8|nJ>eY5DfF0R&BEzWgSm9Ot=S7~>x3V({mRXh|_ zqjiEU14Ghh6oeGT+IktAFg#B;a$#K+R$-Fk_ zrtRA%{Bhy_dR~8Y+2(!rAb>IWlEdn@hfSL|w+?hNxR#sGYBIigK1X?G+q9i;qQ%3- zB|qAl#_a1rE~Ot4!h4|eymsCQ{MqJ@yFw!E;#>X>=wg5#;pLeLxTPU^O8gM{}{u) z + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/electronics/smd_blinker/blinker-pcb/blinker_etch_mask_top.pdf b/electronics/smd_blinker/blinker-pcb/blinker_etch_mask_top.pdf new file mode 100644 index 0000000000000000000000000000000000000000..e21e4f23f83a2d09a7ec16d7f686774b07399614 GIT binary patch literal 1716 zcmZWqeNYoe6hA8Jc(jbr&QNWoTRT+IfxF8kBv8;oVlpu*G{II4RF7ms4&xnOHVV~I zs~tZOaYki?@uMR4Lmd^1BNl7bR!55ww8&7!LI0s;oLX%e8AV&Vdk{dl%PtK>AEFmBI0go5hhZtx_7|bd{76-y7(TpP5rT>Y7!zC}x}e>XksBhNG=c-+ zN*F6pLj9B(qbwn;`hi|`fw4os98g@?r{q2$U6N${nPdCZxzH^N8FCSD5`g1*GIEEw zPRv5dV3d8b;wThc5Rlx+GCB$Y;cmySVsVhPs^^CQiy{=09hrfkspb}k07zifKo5A1 zHzrHX*blOb$O|&_3ZN^b4u(zjfpBwzfhZBTLv-grDIa-(p^u?i^x@C~#_YrYnhCmO zHzd3J5zgvmbkW{(^DmY)v*XV_pT63>s5N$bTKD&352bzq_zFiCM{?w*>LGf7D`Lk`-i>tbCcI+yNN!YeNqT$+H-!|!J+w2t!FEO?P z`FhWdhF<4i?VBssp6D$Po@lY1?7SLnJKYjs{TzWeIZk?Hnm=NF4k zvCe4e)hjni80Dd{asX#Bhbu*qT@HuFxjvO0mixv*KbDu+c>o5iWKOf zaW!%@JMe%cosQQ54;aL7X_5y>1DJ-#1@$t<@fuv&FJr96fSnkI@p|m*Figwg@*ali z*%9Y*Jd5v)Ve51wxv)uwA+|^nL?2YtYsMjd28q9nRr1JyTtK*|*ku_3(yP@D;YWgk R)W~pJ&Opb-&B`>>{{tM#H4Fd% literal 0 HcmV?d00001 diff --git a/electronics/smd_blinker/blinker-pcb/blinker_etch_mask_top_mirror.pdf b/electronics/smd_blinker/blinker-pcb/blinker_etch_mask_top_mirror.pdf new file mode 100644 index 0000000000000000000000000000000000000000..6df7f756f61adf1ca69113df5acddc1ba7c5e4b6 GIT binary patch literal 1722 zcmZWq4Nz276n@zh_?)!(Nk$sHhU-9+yuV#ofe~S05m8uoK^=i{9?M;Nu7p12;#3DJrh>nk^nJh_lVifUYG?Hda3Kmsa8J}4cxuDdJwJEihQYl2~ zsVK?_w*l6;l!=f^7m@p-Qw-1aAo}7vLg{1r(#<2vC)B z1L~$qseBkEct`vds+^p#6ebwp+(;iiNp>ZRDlkrtfsi6-mol^UcQ{ht^y*A zpDM7t1S7^Is-jaaq#27?h}}ql1=cAJ`WX%>WooKTg_CH;mWWiPlo)Fe8^!W8V;2z@ z#X`Um$DWC4HslbUZf%X|E=Ej-?81|L4CCA9!11bz6>X@tcwzTT_$re59jia3EX> zV+Fg^amtKIcBwFRqZmyBW5sT{RI!7mWn)01%O%5Wjvbq>!3C0-B$uHa0&s#r?z}0| ziJLDOOtMo}Y$c){Ba#~yldS|1?pBZr<|JutUg!f-6|tP`$V>!Hb5FI2hy+#(^n`NQ zm@GAe4zh~a8#42Yu-!ME44bBbaLYjxQ6jQ^bXQ|nq51|gjxjV#P6#bx%ue{%lCfPb zz+`u~3R%6GE<5r~_L{~n_QmFr^^d9_p1nGB;YwfFJ|oIr_Uhb-!4)f0;;(LR`6)K- z#vcLwvlp*?zx8NF>lshr(8G%1YY7P>UFE|AeRnH9$bDzu?-h?wqu&db`yq!vY#g|h zaIVU8v9RUyzGste`|bLox8d9Tq}?mC>aHmxt7;P5`oe?9mydRDy!mC^o-5q*)fU=szi!d7i}eFdDLo6W zom(N!{c-t?|jOnoSKazZ+_~Ub}Tpur)ons->v8n|?0RcCS)5 ztN!3`>+3siE$(U!ihHlYT-bTc|J0Iu_8z{b!+fH-t}XS~5My>_`>e80daWCKnu-?E zcNchyyl{z{6PU+I{pi?Kl4^Qlw79rdF~(d#4V(Q7kZlvxTZmvCnz_q$SYd4GS&; zMvoiW!1ewwjr_9n&;pZJoqwyczjfUr>!-8NoX?IwaN4|QUZA6`DMl%e-p%V`2akj2 z<#oZY-Slp&W>1V7r6p;Cq<`=yGcZ5<;~ja>CdSiZykU?OB3WhN4Zd+F%e2$blv?C{S`C*9683P*zW4oWKL0r!kf{f{1CD5CdALVR{aVd>R(R z&N!D7Sg5{f>kKowu+hdTzN#WhPONAj8=F*tiC!kn<&Y8i3_)kH%Cd?`$JY9UTNM>m Qiwvjd^|Vfxl4+*@2Y@g*>i_@% literal 0 HcmV?d00001 diff --git a/electronics/smd_blinker/blinker-pcb/blinker_etch_paste_mask_bottom.pdf b/electronics/smd_blinker/blinker-pcb/blinker_etch_paste_mask_bottom.pdf new file mode 100644 index 0000000000000000000000000000000000000000..e20fbd02ff8dbe016d77ac1c79119b11c7036c94 GIT binary patch literal 1957 zcmZWq3s4hB7``f&;l=@lu~n!ijfg<81&m;$LGa7o5ERq!G$n9+|162;EKW1+o+8PJfB-~_gds}! zmq9r#7||eUAy6U_93kKjAoCFpS&lFW1!WDCdBpA>DX7griG?@?M+<_vaR5;PaX{38 zIFWQXGH^}Q=aC%ILMWWj5Kas6xW{m;mJ*=H+V@DDkm6E8N|I6uPKKaSQ7Fw?#K6d* z0p{3gfN3a!vT+$GmPBRH4j_RW3_}#8uPMa1gjk~hEuolE1q9wH3W)#@!$}k~Q-BD= zVZu~>Z&jQTSSg#sy@zrv2iSa$r3d|y^&g)K%?kp}vjEf5*^HSs#HvjmrxindfClie zaFUS8m2y%6+?HMe2G5ocQCr}SRSZ!Kl&4vtKT=G?u>zbrfD&#upqPPna8BL~Q$gT; zh>B%7xK4=00XHPl7KT!DE|4m&6A}p^NSSF!%G`RMD$;N^jyKvVGY!O|O41nZKnxll zB#ML4y5|`lAeN_c#3QkRY`fKCjT8`X1Zwx)fdYuhabMU#2B95MQwIYRl|(s3pPosZ zJ=4JyxnmMtO$7tl7W3fo{(YLw5SD@PK9f4e2CoQUIvc!wEp6s3w0OEhfZdUWx^`dr z@G^T7A5;Bc&a;t=9)%TGIebU03SRmw<;j@+OyRV!l9|!DKP6o9`&%_4XVx2c z*NsiM*fM=n=;w>e>J&|Tx1Yb=iRX@cUUpCaxTkPQP4);%pVG2zXIx2UbL{d|;uNpsN5xLws= z#TlFHBX@k=L66fDt3vl@`LD25Hpr9bEynj%c0Zp|7ZY*i)~A2T=K7rV@~f3~TDwZG zhIAHpZTfekpVN26gj)f*ou>nPHgESo@-36vX0&DoAMDDR)%>g>dC%perN5m!5ZN{{ z@>rebY{6Smf^Z?wd3E>WJ8XV$`*_>>y}0`DIVn1KafQa@-4i@|*@XY5eQE8Yibr?N z{yw&~;Vb3+No_tZpQ=)OZLBG(wn7zoPw$iav7{WDGT%KoDr-$^fqY8lnpWoK{avRv z-0S|;zAxWz@}BODz?Twh?-%|ve5Y15o>#X=m)rbO7TIQ3jJV;qqocTMXI^$iPy4kr znWEhM&6XG0cg{4!WY3=+i$9w3*=Br;$HgBbKpd;mLu zkrLSSuVREu3i;?&3@4Q^h+&vS0ULT4CRIS{8jcZ=mWE*p + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/electronics/smd_blinker/blinker-pcb/blinker_etch_paste_mask_bottom_mirror.pdf b/electronics/smd_blinker/blinker-pcb/blinker_etch_paste_mask_bottom_mirror.pdf new file mode 100644 index 0000000000000000000000000000000000000000..d9871793cf0b8df744b1ed3105814468fe819a63 GIT binary patch literal 1977 zcmZWq3s4hB7(TH>#~Z9gTWYJ~+9?G@xZLF}7=(l%J^%@#BcaYEIWQ9M@a~X+iYWDg zwn8n+pv>U#&^kU~Y85+1rWOQ5l-dmStyPLb(ZM!as8;A+Ab@z8?EU|@`#*R0JD*sM zHV_Yzpg0f##;^tr2|=-VGjE}QU;ltVim6$OBvXhG2o(y#dVg1XW`@>~Jj_@#mk{9+kp!0zghVVN{85z(rD&5-7%{rQ z%rpvMYLX`{ObUv{k|`7i#Bf2}C&PZu z5=PSuoX3U30T(QxOlC5iv4TWl9v6!NPDqnRwajVfIz?*6!mzqD(ntZ}P{pcsX+S6% zIugW@YMpwD3y5UNOu;2K+-;|Mq>co_jzH_dJ&*&TInE6m?jVdKSZZNmf{`Gvh%v08 zj4pMgMb4UpR1?8)w}n2qvR_QmDg0`n91N*7Ti_M}OlyI=uc3^Li4weXJiHxiQESPS zq6_qGk;nF)Z1nv6_`PMCt~+%(Gu+=%z8CyKQVqF!M|xoO^_f1trwdom`_F_WRjdz< z>_1v62}s&_Ltor8>Cr>haa+%{79AWnr%&ST{yb&bctdW^qnGqqd2e0Tite6`-k||M z6ijmSQ`c;E3(oz@Fk>m-oZi>B?MjDlo1=PO%PMN-3x|DUf7y|$@rgI1mKQaF1i$?G z=)J>**uWi+gOeL*ZFxi8i>e2Pl4JL}BRg{}JMkR()Or>Lh3X~ymD-k{+bXTyI=rFY z$>HGF=PX&;YjadtOPGTPv4U3 z73-$_-O!a6+9k8?;_}NU7N7G`btTyHxO_+bd}-g zy12XiPRE8~GZE5S*{;c#@45PK`PE|kKt@LD=D#LBX{*isu2<^#^|xAkL;g2@^|Hss zJ6h~8p6$|t%SR5J;1g9BGk==psICvVn^&{$Oi5~XXUxe>@0zE3-o91Ux8-k1uBtSn ztkpO2Zf9q|!#BI2cy^sbKR5chwY{?>^xUn`^S!TZwixe{lhHSBF4%hX$&>{V`q`;& z3lH7*53ug=s@a|S^c1#Inz7HAu&4b@hpo9OxQh#zVjE8>YA!wYJpN}_$kw)Fc29j# zV4XdBWp8W6tCR!(w5Fc&?jKj0wfF*L#G#Wr#Err!7Y@Emp>Q#ez=p27FhU_5iY+pm zIDjUi0>{LmN$~PA>F~PY@P@ODQAhCrGMYvUuz1SK11xGSWS;P$w|X>LSXn9=g)~B- zqTvU~q*AdIB!dwQ<|aIV9>xeUB!V|FNQ#i7-o!+N0v0g_6U*TxAA?Eckj}5bz_ SmUngwmr0eVk55F57X2T39;j0Q literal 0 HcmV?d00001 diff --git a/electronics/smd_blinker/blinker-pcb/blinker_etch_paste_mask_bottom_mirror.svg b/electronics/smd_blinker/blinker-pcb/blinker_etch_paste_mask_bottom_mirror.svg new file mode 100644 index 0000000..7de1140 --- /dev/null +++ b/electronics/smd_blinker/blinker-pcb/blinker_etch_paste_mask_bottom_mirror.svg @@ -0,0 +1,146 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/electronics/smd_blinker/blinker-pcb/blinker_etch_paste_mask_top.pdf b/electronics/smd_blinker/blinker-pcb/blinker_etch_paste_mask_top.pdf new file mode 100644 index 0000000000000000000000000000000000000000..44f8e4cce93fdd1be63519676d32389cab77e76c GIT binary patch literal 1258 zcmZux&2G~`5MB`KVGsO0!CZALf;$hujTZoBoj8da9CEGgohN4vE|JkrXb z;b?nkE7DI^j@cnv5#k)zHem@4h-wle8j}Gzz%|5oCSQ?LbHXw@0^wFBr5DPm%r!DD zKXNQPXXWsA9Vfq#P6m+Rq<1^BbyX0Nw4}GOktBk zPn6@vCbWV&Oq8)->>V76Aa$o@OEeg6O_)^4$WG}#6;W5eg5ro_CG25ofmM3gwXz6g zTbSKrjZ=M0hi`wJ+}UkxvFG1TZf9#-m*2mBYAu{CyuZ5p?j?Ei@$=2f1A4h|MZbJ# zUC@ixdF%Y~{jG<$)D80c=e=JzSmTYxBW4aYJPK~wKQmJ)vqmy>$*fWKBy7h(o76P8 zPWQ2Pa)9D(EU=P+FErp>lq~*RWy%317pU;ymWApww$|IUA?q8n$B1pIgk$$L0USrBIy7)ryBbp^~qY oAD#)Lmu*KK3FfALf;$hujTZoBoj8da9CEGgohN4vE|JkrXb z;b?nkE7DI^j@cnv5#k)zHem@4h-wle8j}Gzz%|5oCSQ?LbHXw@0^wFBr5DPm%r!DD zKXNQPXXWsA9Vfq#P6m+Rq<1^BbyX0Nw4}GOktBk zPn6@vCbWV&Oq8)->>V76Aa$o@OEeg6O_)^4$WG}#6;W5eg5ro_CG25ofmM3gwXz6g zTbSKrjZ=M0hi`wJ+}UkxvFG1TZf9#-m*2mBYAu{CyuZ5p?j?Ei@$=2f1A4h|MZbJ# zUC@ixdF%Y~{jG<$)D80c=e=JzSmTYxBW4aYJPK~wKQmJ)vqmy>$*fWKBy7h(o76P8 zPWQ2Pa)9D(EU=P+FErp>lq~*RWy%317pU;ymWApww$|IUA?q8n$B1pIgk$$L0USrBIy7)ryBbp^~qY oAD#)Lmu*KK3FfIy%VLn^OceM>?}Vv>j?z-10DqogS1D8RKvxFo@G0X~M1KqU^~C`OXusEia% z3dTnfzDDSyq*%g(IX;1L*xz&}JP{({3NSok?iz?frBmosIt0;a6v&ipYfFYDAw*)( z>;z+@U;yL@FhL{|Mg}(s!eALd!#B9kQlNjL;3&tOJTTl78T7CRFf^JFTmgy&1tNn& z0YJ5&;03dP`?g=_0fY)7Wy(DQnHT`SMI`Y1co@^>{c!gf2BQ)HLGX1daXIh}u5gG*;DE>hKVqFqqXATi5iqM{O1+s|#6cnv)FVnD zgaP7GZQyuB0YuWcAwqngt#TeV1300ASi&UuuG&g+X59I$%pk|&D9j|c#Hk@)Fz zVIdL%6V_!I{&j32%YS*|>zevE^NUNv8wdS?`MZNS$7C9}IEgz`9Ty~KmTE8^@2Ctu z7+q;}^jg3=vDn;tcU+0j#L?x|v18+g9moR%+ZPG(!w;&hUw0bR)r@|g<=t_*yG8uE zD(!ozjc6z}sPgP&+sN^4m!~F1pE|D}*xgcDC5!(p%bfr0)Ev92SGmqZI%7d=?Rx%` zO${UCW7`IF_-FSxqZzs@nV)4o^jbghJUg{h;5_9UYhydY*{nCG>uYUb#pxfSYhUPW zs?ecl{=DcV)bx+fdS3fxmo(?Vj$h|%JudZX*cD%~x;<(yn^ zy@u3!Is8TAkIP=hTpn0*^JT5^d|lT3^v1!I>xEt!(Ruez+}NyX%uf#9KBqjXB+1F0 z>Tr9nUoyEZzkIK-{VN0Qvk$fS3&S+^^4J!GWA`Sl7KdddtCiJ!IW^Q(Z5KcFbaJII zr^DN_)lAJ!D>n~qGT}GqJ@PI7iT!yl|6wsW552W3*s!$r(O$zR_e|@$%I{t4(^%U( zwRLzwPpkQ%zpoUXJt@r#+SvbU|MU3KEh?H%sNL#MUTgKgD)VaDQDx@vqiw}Gv&x;C zQ;q2^o@%LQ0{#h)kC>aq(S+2_&&yO#SyJ!Q$SpnBs`W~JulU&yj)s>`Txs5YnCtM& z3;IPpUFPk0E&U99y>b4{F*b+KJ%EOLO>ABedWV8|vd65zB6-c8KvkQjpd7ozY=buW z*`)F+1MA$S7ar{}?Fp{l(Jd6lJ%0l((wi)kb{GB88N9vbKdIbKP24BeRsT;VfsJgg zs_c)y{d=C)vV9jd3l1(ioJ{-5vV?x5@S4=%4PU*bfOW+{{;2-Q1(iTE%d%a!ZF3xd zY>gYep3r`6U)!p{qshM|6>zmwbTb4J3J!1cI5XD>>hr0L0EryTsx%TCC5p> za7&cSCgW3UOa{L6{U-fDR>yMdJ+w`0_=Yc5KmzmA15E{k(ktg)lJrXlMd-rWgy#RZ=~LZo9Ps?l759$H-kjg#-x3z#YKi6~iGUX?(1&b2_Qv5I zD|oQEU&;-~ULTutzCLx&*T;?IYdS5<{;b5J8lo;db;kd=)vk3EuA;ar(f&?`V!t&b zO|`=;`f5p0Mw;7W$B@?TXA;F<#W<)3?JIzXGmh_N=c}g=owiZXd3mqvsg+O4jZFuF zZ)n?t7GvffW_9sLCidswyr^1H_Tcv2wO0H?A;dW)3YS~vj`Zvq+LmgrUX*XtU=viW?Kd=}+a>=t#DL-Lj5;$cRy{XkEN=F9x%?OXSnB0R zJq;IfpRwoeB!~U>`@KKrl?=qH{M%sL-&9v}@?uEB>csEP8M74IPd{#6_4~mwMOKO3 z!OJ7votm*)jRBFOEiQk?2GbmS| zH6HWQ+ppQa?_et`dR}uVvN<{6PMILI?R?jm^TbkqW9?vc*Ov>qwXSX^*=?Tp_g@wF zmdCH^i>9yh+P1Et>T@%2`zoN!(@E4}k^a6^vP$E_ zGim#1G`31dxzG8A8RJc3<^n~RY z0Iu7D6AFjvH)l2nkfU%Y8D|F&ney%dm<$Gu0fYkYF+4cp0VMA*h=%v~4>5>A{{*8z zmUt2$?xWCHc<=rQqqA_9@-arm8OTQ%%MzzIA7T&#`qVGO>Qj3x<}6(d6^J5XR7s&d qL~$@t18|)r6al9BlRJW70Kr3*tVM + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/electronics/smd_blinker/blinker-pcb/blinker_etch_silk_bottom_mirror.pdf b/electronics/smd_blinker/blinker-pcb/blinker_etch_silk_bottom_mirror.pdf new file mode 100644 index 0000000000000000000000000000000000000000..36394c87f96d3aaf29891148830c3ba4e1c30c2c GIT binary patch literal 2962 zcmZWr3p`Y58=rRDvZK}Iqm|WkY$=nNnKL(pV#G&@Od*lR%rS;BGtQiuW=yuWo1J1+ z#I&UgR+n`NDQqiURu*5_GFcUEqlgmnA;O+BQEJcp<~`5*f8Xc&zUTM+Eq&eGZ78-h zJOv;F;;=}(qa&WQQGf^`z-nxqh$pdNh=YjXiJ31f=E=B_eu~?GLvR3&hO@=lp?^9m z2V3D-xL_hGfjBabg8l&9dYl*+g%jX7sLVoT7$?z>98_C?N+J~Jpd$}Q(&GS%A~-3E zN^vq=7&;2jHB2AIDKQT==mbLHVB%zW0V!HqcEW-qEfNVpxBbpg1NkVyLhsJaE?%_-@}nc0i^X}5%eJ*`h@u{sCxu~U=cuagX97(3lAQRAg`Uv=7n=wUgqdr$GMJ!Pm5+M_rl2~F9f=;D?9lG5Q zPx6DLVj0XuOF<#OLtI26F}hB{E(f~78{!E#u3`nS1zV?3sQ?9}hfFD%UT^XivBW|# z%$9Jt5P)4OUlv;eU`eBfF!4jS`Z<3R@PIi=%p~c3we{*AYz}~(2&(<=4442`9Q}d4 zuOR9N({x7@!;~;N&?hVs;!aLKlt`Zw7U~w@eYLSVOh&&B67i7;!2X>}cYzQ+B7o#B zL{Hxh;);0?W?hP)Uq>`vapGl=chUpKoZm(QI)Z^&@okbSsnN7ptBXAa9Tt-0`PZ`} ze!`88q@T%pT5m0Ya-nwLS7`(4pOmk|hXmK+cD}72?aftHB_GHX=SH+SYgEbb% zmvxPU{nYUt-QSHSj=%lRV1K2mbKY)C){DJPB|j|Xm%eS+{XOKcRC{{i*1_z;gI2HI zuF95X8{5d|{IS>ErZjc#udqdvr~93uj^GCG(BTO4{3Xh0?lzE8wl|B*+Y;c7W-B z;XN@Bf4(B9kAekeUAtFv)TKHSwa zFxop3|7wx?c0uB?#Zi8@3aE+a4etQF6}7n*$0It{ozhg@p#c{i4&gH`%}9ftwRLA# zYcA>>+S{k~jKiaxY8mT^{G9fFLCK}Sv>7{KsA9HN)U39-tD1K)O?$q6#!nHf$=mWd>gPs3>`^Ro&w3F=h9KqxnqZ zn+wKDE(Bb4c-p^&lWdm9Z;9B&N{qU$o^>A*kdN)ZYujI^s^>vqTxC&?{|24qPHvQT zL2?eC9#r((?9n%$iSXXKqvxvCcrVEJ40FTIvQ?Kx-Hv+xPrdy7^Mb!4`$xC+S`G`p zyfB=T;nTvjOWNe)7EN=cz=nyg1KbC9U34klMg|mlHQ2MnsII0k?BkhY(Neb-IK@a>yVk=O%G7W}H-%d~l!4T_eGzN`DLiZaY0>e>3c)0B~~4Zc4u zSl9**zc8yY+2q)?|Au|>3R%L5OOf`4AmQ`ye5F-N^hRx2@qysYC-3QQt*!9M$$V&8 zy0)&-Orj?Cl&>tw@Hc?2S@)jxEI#6ISTddazySz_-_{4_bsP&aY1aU~z?_wk{gH&} zb&avEs^S@w##r}U;n9VT0T-SKXG)h8t|4~CC0yo39N_rR+VHGm@4d%Sk6MD$NJ$KT|BP^`Fr@5*cHWlvdn?G+!QEm2~Zr#tiR%!Klz-BIOnTr11Nvm7kTC5$^wzWWw!yF|E}|TAP!!dBCj_usTy4lF5;-;<@fRsQF=Z2`+Py>;2Z(XqkvN>yu9z;NqIx$4xR zWe>YU{=Slc{PnU$*JQIeME>ibP*Kl*&P^Bs+=9hXNvDsw+)u~4bek)crf|gLHn4if0`X% z|1-LJTuXP2R(d6EF)7OA<&chNWotr~CR>~^DT*gw_SYLQ$*X2>){sf~jQJ(TCMnO5qCm7QXB{&~rARYX-U%LIj?J*fsbP<>%5JIq? tM6(645LN?{rzl(uOfV=vu^0g`CaUKxQiKB|`f7m;8Vzr0xyHvG{|_LaqPqY9 literal 0 HcmV?d00001 diff --git a/electronics/smd_blinker/blinker-pcb/blinker_etch_silk_bottom_mirror.svg b/electronics/smd_blinker/blinker-pcb/blinker_etch_silk_bottom_mirror.svg new file mode 100644 index 0000000..70a633b --- /dev/null +++ b/electronics/smd_blinker/blinker-pcb/blinker_etch_silk_bottom_mirror.svg @@ -0,0 +1,284 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/electronics/smd_blinker/blinker-pcb/blinker_etch_silk_top.pdf b/electronics/smd_blinker/blinker-pcb/blinker_etch_silk_top.pdf new file mode 100644 index 0000000000000000000000000000000000000000..a3b474fafe2f1dc1ae923e9ba2f2c738104e6b43 GIT binary patch literal 1258 zcmZux&2G~`5MB`KVGsO0!CZNIHuZ9^O(q@)ttWE0$`-e|p{X>XNi zAAu7GPP_x$xWNS>cmv*m7XW6RIEfM*Wj*tGX7~GM#$K+L%ByzPqc&I|kB;fa24(e7 z_XMo``J184SAuJ)#$Hv)_Mjyampmhy0P#qc6!4!zySYMq(#)Xc5R2IO1F}yfIUyn8 zX!~d@(oa^7*&$jH;vCmDVF?b1Y7!$FlL0xxHN~U z3O!Md8=KHF>M&8pesOSgELy2MEnA|&aBIM%N=9}{_o;}w`V|yL49j5;OAD;r!>*M? zOSXmCJ=QqYr*!!4=h>b8`VM>k{p@zOx^w;G+vn!e#nOkjci+DxZ$5pwS$;sTm#*p8 zkIgH3)x2z8KEA*6@Rqtke&_G~!oeDEG#)W?sNs=!)Bc&6N}1J@p-X0svL|6X2HK#e z!8Lk_wUYxBZ)1U#Yz0CC&PAyVtS*Kc*zPH|eQSL8XInK?qC>HXHnk=frmWUyk*l};+Np10}p^7cWQ{sZHYM0)@L literal 0 HcmV?d00001 diff --git a/electronics/smd_blinker/blinker-pcb/blinker_etch_silk_top.svg b/electronics/smd_blinker/blinker-pcb/blinker_etch_silk_top.svg new file mode 100644 index 0000000..e69de29 diff --git a/electronics/smd_blinker/blinker-pcb/blinker_etch_silk_top_mirror.pdf b/electronics/smd_blinker/blinker-pcb/blinker_etch_silk_top_mirror.pdf new file mode 100644 index 0000000000000000000000000000000000000000..a3b474fafe2f1dc1ae923e9ba2f2c738104e6b43 GIT binary patch literal 1258 zcmZux&2G~`5MB`KVGsO0!CZNIHuZ9^O(q@)ttWE0$`-e|p{X>XNi zAAu7GPP_x$xWNS>cmv*m7XW6RIEfM*Wj*tGX7~GM#$K+L%ByzPqc&I|kB;fa24(e7 z_XMo``J184SAuJ)#$Hv)_Mjyampmhy0P#qc6!4!zySYMq(#)Xc5R2IO1F}yfIUyn8 zX!~d@(oa^7*&$jH;vCmDVF?b1Y7!$FlL0xxHN~U z3O!Md8=KHF>M&8pesOSgELy2MEnA|&aBIM%N=9}{_o;}w`V|yL49j5;OAD;r!>*M? zOSXmCJ=QqYr*!!4=h>b8`VM>k{p@zOx^w;G+vn!e#nOkjci+DxZ$5pwS$;sTm#*p8 zkIgH3)x2z8KEA*6@Rqtke&_G~!oeDEG#)W?sNs=!)Bc&6N}1J@p-X0svL|6X2HK#e z!8Lk_wUYxBZ)1U#Yz0CC&PAyVtS*Kc*zPH|eQSL8XInK?qC>HXHnk=frmWUyk*l};+Np10}p^7cWQ{sZHYM0)@L literal 0 HcmV?d00001 diff --git a/electronics/smd_blinker/blinker-pcb/blinker_etch_silk_top_mirror.svg b/electronics/smd_blinker/blinker-pcb/blinker_etch_silk_top_mirror.svg new file mode 100644 index 0000000..e69de29 diff --git a/electronics/smd_blinker/blinker-pcb/pcb.png b/electronics/smd_blinker/blinker-pcb/pcb.png new file mode 100644 index 0000000000000000000000000000000000000000..e3a165594b891fb1b10775631248c6f7f71235d0 GIT binary patch literal 2228 zcmb7FeK^w#8~$O!kkEV_Vvo z4-9QFgcxi4JaLAPzs>d?4|$*Te-5bfM<0}5*_MoHE@pImT;f#%YW$|BPOe%aJ<4M+ zaOAGfbm*yovP71XyK2e3vDktektVl&-!Z{H=@cJXPOeieXZ}mo+t)hC$?9S1ROxFY zV;99gDl5jl6Cg|7H+Oh&rp)fyg|B(mNY~boDpPTNZ*Ek&nVR407*D9wATw;_qTKG8#hBKlDW%z340WGI}>h`s~ z;En)|Hu2v^1{=V*|Kgp~Y>zG3!nvb841r30F|EcJ(trH)%Au(6%^Qb+RRE#f$QTvV zzaEdDTp%2Jc`fYGd6U3t9KPJpi~9avKvOB_aHrJdd!!KCpX9bWaZ)z{{bqd}*BaGL zs;urjjHexmNp17jp*Zoph2&f)w zUCsc*P#Ot*W4qdQKAHGBL|}zn8tGM_fq!808i?)G%SkiP0|)sHzIRx*K@iFk9 z!PIY4`W#D6rNdCrKb~hy&3b=P7pk$vR+~KA_&7Yt^`Iy@Ji%xh``0kX{p(Rt04r?4(iQ z+m0iaPxr1090_!Gtpx9?8csR}8xZa(O76R+<7}+ZnC8j8esRw+Wv-B$XqP4()5WXYd_uoGYM$ zy{!6{NY7`G>uLS2c9{^vI32#TKx`EF^NQ&-NUy|5k21l$#GN%J05a<*XK(&Mn}vCn z?WC@6z!Ny?FFfK+NctPHpGZ&--csT(=7zkFmMmXqDm zc_yDOcrt{~IZ{7_0G}5GjQRtU+-0<4H9Ll^p@Hqn%G?IK$a#8-)apdWB{l~Xd0x@b zJ@#C2Sb@5hjMB0L%eXHeXORX&WvEMkK;}2*KPXA{Gi}UIe@s?X9a0C?#^Jjx4L~Vo zJG~?1nDiQP(N)%IPI)0*TYWpT#uTt*HR@48L6OX1Qd_s+inf#1*qc#S(=sbCX>yN+ zS(urb*EGY&#Fku90Y2QLNld-3A7n+1_uDSa0-W_rAEjS;lV16L)0ogB-qhp9m z;a4Xoj2~6Gbn9$>JS0o|_xbeIECE7w#AMcbPAPYLXK8T{JoFQx=$EdHCbbGR?!t}o zc%1r0Y5x3XT_>^}OK&VbtA1x~i(X zN;za2Ej!-#R007q`PyqDLs*$yYt(B=Gotfvg&t>8vhvUt+545O_ z`(|vNLL10dj^60Z)s$!4wXyhZ_GA6Gb6KUz@_mJri^|3MjR*DjUzn>TJbzG1r2|o9 zdO-P+36R!_Qhbf;9Q4?TZ=tM|wAc3M#WZwJJcIkW5!Nbei57R=>UY-^&pPn8M zjXJwz^{Y42hFzaMy;>P}Z5E@t+2!{?ZyruQH&*T<@qK;U*P~FQ9vim1Xj(gKZ|cb) zGF$Yj_-Sn_cUK4qdKuRDVcGHWx>VMoRPb^Tds}*&YY*95$9?afgVb#i;6t8m(09i+ zv$76J4*w9OWtAS=zPmg*-Fq`e*qZ4d$UQ#htDB#0p;uCH4!FEE-M`E!lM<={7Z^dE zOyrmEk)oT>VJ*CsB_KQK*pTs~?R++71+uk@6a)rAID0`xwpy&(dE@j7Y=pM5defUm4R$3 zPtp^P#gjL!-MgMT=I%X5v)MR!HhGZ|acf5x|M`M{!7Z1;!U{})uZ7C}?vKC~dZHwu z=ExsIyv;V5jMuoXx3<6^-CDd{rll3zCZFWc{4e~y30GCR66x83t0ooSArJkJr3LQ+ z-}K1x0?Eyyw+ihi!J*BW@N$qriIC07H?AEsK?8c;bT)T>^kJ^uOI!RGcJAb#@TgxP zBHqLbJ5mf?n_WNJpS}m|+6=o1Tb@8AZRclt40X2$oQEz=p_H@>vOa`+If0DAm1mI4 z*aumjAU&UeyW%J@%cpFFE{!N3Hw(8opp^42`s~CmS^{Uc;FV6}OCi#q1#8-9!0{UD z;b;iQwTZJcY}R3W4s5a2h2lHJ*&4JOvi=KxwK0I>J;2}YvmdlPD|g(g)AFdGaa&Wf zJ!t10w)}p#?5v8MYH8$_%Pjx9u2aNCv8guiz|qouL|K(H9Iz%y%5#hx(H5kLQz)N! zlZvWeX81C+b)$~$*TVj9(DUxaGBe;pD%TF-{cF;;(>;f`dga7G3aPlIhnrn86vfAn zV0(&2~ZUy#O?#!j=?%`RM9QakiQ~nf>rOxtptF zy2mbC=l03@^|bB#Iq6*d9Sc4u=5NG6$a-ZFr8)O}&wzUKIf^F3FS&5s zmQ^S*k+HqNW_6Hy=syHr%P$Z2a#0$~{lon>!2nFYGSwxlW+lFIRV2_18r4M50ZbHg zgGdDtsFX=g^uESGMxP;_-Mp{7GZ&VDqWh{bgkZpV#jX}$Y%iLS{xeW`FFfar|^;x=|oz_4b%hCvg!_gv|p~yv9S1sV_48-Pd0|$z?cO`{W zMBPK?eNP(}&LujiL^vd8oG8#N#K=mPOi;E`K+`?@8{#>Y6vPm`uIgt4Bfum!IBm^r z-msidn7b(5RAG3@14p^zm%V}dem_`UWqHXIRJ=Ch_BJldLkxBy}(>J|yXWZ9T`BO~&D zf{)=sUB3WGxXRDpGxHVlyMM?$N?C~`(kDccgda%DaY=%wm!efp&U{ZE(@K{JB@`j2)$qk8>CbsQpKp z-@Xc!DRglK0X@C(Z#Cv|DtZT!%9X$p{+IMN@ipj{srch5S>6X~vQ_?k0YxX#^ryk4 zo=Te{jeQLl@RJ0d7t|Bjgk?xBNDAoLn#aJCZ za)(A}-pkM7r5tYwjAklS8MyGD0*gS4cQr-qYV&j*?u6-F(&G(cVf}4duZ+goQ!Zl| z58Mkqe6i1s%|L(G1i4c0A(>EiFDE1*NI)tL8;LK_c+tuT26l3sgFJ897jwin)(ob9 zpF|vg1q_VnjivPbh=-E?Lpq?9K;(p93$A=tspsLQNLS3yk%Rmri!PRjP$Q$@;SH9KKwF5@-cbT&By`AQLqq*Q9r|zqErq(-87$&+8ClqEd_fCP;S$H;vy}d4`3grdgD4s_7w9Am}?; zgEK*lAY>@)JB0HLgbq;YkEi&n_F6TeAk5JC}_YH;*cTEb8J z6*U~rL|KUbpctUSW=%_2af`MIO$f%k84665zMAUVUDFUI!nYU(d4MV$VWdkhX0YGX zm$*OuYo#T)* z^WmcK?GLm?IEn-B!xZvk2!L>`+4ngd`-+H5avK>Wi}D4rh$i+YFl6)_rre-zUMESo zsV0MrzDaPu2&bd9{)2<$o>F((Kw@5j0FOyT44Y7(LngEp=4)jtZ z6`$u*pbi?8`W}o(p!uQ|9RRFi1^Ks4sA3perIJ{fZ$@n%(10d2OWOw$UtK_WurZFV zT-1q{n=!BEomfq(+m}r-)LaA)ghZ<^C6OK(0mpWX)}^i1<~=0_;!ti=)(H!g`2t9#=zyq9k#M4bUGN z*3e{Up+VE(9$<`Kf}n{>7so21Lp1^mB8_qjBchGz=1&`Sj| zR~K3hXDRTqZ$AcP%dkTUN5W^3E-f^&a2#1C5;^9G(xO<`c0xxZKupIHv^Qw*cdn#E z(bVHrSY!~X`JrA{D!IP4@+pXnLdd~-Hr8;cYa5MW01=6kUL&~7Oa}-K22P+4Vddw1 zl>}2+6F{(4hE@=mdyqH)mOiA^W(hag=rNF%Gs~osvvoihP1ov>CDZrQ0jYLGX=tAd(3aq*;_+dBKx?J083dltY%l;~h@^pT(Gl?`A&Jb)8t;_C5uK{0qNz+MllX54Sa1km z+S@b?4=ub}W^NhHupgqpSwK*`suBu}+r&V{UC?jR29a=B%4pSOkzc?F4?E4>v@cm{ zu2QH~K)f|^fv9e?Ea0aL63{DJDj7%vxcPPXIuG*|0ql1WLZnUzWUpDe;5#Ucg1Ui+*``nupv z&W*8Yrrx-sIeG9zISzvNyoU;akh!DKb#x8)f54nuaHut&#vTI#sWJE;M)!vUU<>p$ zpr6P3Q|5tA$*vNjQs#{4qXZDEv0|ZqddccT6? zy%V<8(%}98EG#>pk@W#Jc7+0Btd4d0P~q}*;Iwht{M&Vf1;OiTszf`CbvMW_9V_RQ zAI?b`io#7Mir&nKQF#xl!umRe zgy8mcg$YNR@9FF+!2jcpaArU52+i*AZA!a<_ZsP)SnCYr`G@+B<~UxAt3p5|rYyG3 zF+Us$MdSgUNLZjByX7HZzEb`d{e2UKhQ(M&YxFN5WCmP3CI)O(*_=4_7snp@*dlaE zL}L5;_&t!eHHJBd5DPY*N<4v4>=w2tDV}e~-a(*}#k^sF3%-CKDJY;3YL&zL-MlQWLK*^lXEO1o|`K zyJ2|@Zq#Sn9IAU5^f$om|I09aOSM1@ARh*jQO;Pw5)tw@#n~G}NM=>z-qQ-C&npyx zEGwV`h(R8zpPpv-!17VPc%!BupIk-ya+L>|l-uDX9`tLtTL%5akoI-C{fAnd>EhKO zzcmKgevQiL?O4p$X{`aU|Kr~*(QieDww#`!NHb~zCZPL~XozG7@&1F))LKTA0f?-)it(Q zSWWgD6DWZOS<-BNu3 znPFZ>I@Qn+SViF2flOKsnqZkvvW9;FAx@R*+q7 zN*%|7gMfzRx@I64LTzCn@c8NB)fFr#RDYKK z;kS7@q$Q?3pu`&IZIXmzTnchsr`R@?=&( z9ewQ=Jq8RRXk>$iKcoYab|w``EtFVa*Fe3v$Ii7U}!R;E_-|-2rK4+ISua5Fv=Z^tUHFXOeRKYyR4lk|;xW3YxBNPzN++^<%sN z0pYmF|M66V|9C13;e>)q#z;J6Uf1L?#(`qcgb49ahJAt{_?QH2dS4r`H$PEN9X(3& ziXH|hWV}OhV<+|<39BATI3;WWwU;rFhi0ivBeaG?H9Q^t1lUi>Xv5Rtr?+8&QU$Ez z??!EvaHEm>G}a)cc20=wU}0PmHy;l(L`q5rJF`|q=wKK@(``UR!{{T>coy(WH5QjC zuq&iM2%MuS)IW;aR0|&!Hed6Hu<8KjD+>NVI{%T^rgoHMMb=0cF2E8gE7hzJj)ako zk~ZvOD+fHPrcrjbdYp*iu~9{x%Q8ijZa>?OOz5D=K*hP5ovzNOJT4D^~eqIV730^ zICKP-%k`inl)_j`=syQ?iTp9QuNr|5DvGL{@!%o&HlX0y%pZedexVrNddVsF;ywmw8Tz>RsBNhnOa%s4dzi!% zl6;9g5L_^L4J=+csaY2)Wmvfrl%YBw0Gf`@(fY*iGSL*ez~HaXYHjlu=mm|VIrLDy z<kS=8b2`nDQN0!%r?H#ME04P-Z!cqn?u^eS4L>G=uRFKf zuaD7NbD{7-SAL%BhYR0lM(#LhXHeBJb8np*eEypTWlW=Vwj5*If2J8Z_mz(~?6v&7 zGd(=jdHTCw7vDY`SH2GptzNH>*Vm`BdGqF>Y@4InmdVZ9P8#>`H0VosGHSCoo0`Ni z@AxDG4S81&AFdB%pBAC!pjm!2uOF4-54UNc-)3gT9@*V~8nrS>yS?(2_UfA3o%CBM z4*EEKzP>(d)_GgCCF(Zn`d_YcU%sJ--_x<>Ggn{RhCU7mr`ZwKq{?KE4{& zt2dSUd?GM2B5#8y9_)S^=}08J$NIfq&z&l4PS@?{#RStY`$gK@yR~UyZcTdL8a3N# zCyF0$%h3$d&zqC3uN_-o$+-_5o3>vK*{69xGg0>)Z=AeId!KN(odw;e+SD5L+jazv zvv=a}ZHx4oZ%dwc9n5e|O?eG9s-IdJ(&V+N^H^J#qF3BOQwZX!WGyiS-?wq*Gs!f^ z)JLeIBzo{1xkPeE^|v-tGMEiwRmf*m3O=5V_>3C`j}pvzDv2ZL`wXUX*sc?n=Vj~MPyJyK}+2*ZH!gF1iUXi?#S z;YZ9U%f*j)ISiZU41HBH=Truf^hpk03{=Si>Bih?PT}GtLBeTyidqW$>fFZM@(FGz zAb6iTTHi9M;d4K!Ur7;Dk1N2u{?@E`T+rC@817Ogl|W22$+oX^%>GWS)+ENjKmRn` zj?Dm~p`Lw9e&Kjg{tp{FhYN{0CgL3WFo{UIm5U<3Vj_>1-TNf-k zo9|E@J(*VnboJlpR+l;q$Ol@Y{`0x5NPnH=SuYHNs#>gkM^e;0mijp$!GHq~Xqudr zt}xhsLcy+u;8wX>2j581pD#3-e{zJ~4`(jWuT&jzH`?Feggkp%^}S$ zMrWs7SvBc~0MH~g*J|v^o;oSuOuWQd?JcC+{Nh|~vJeIi zrqf_(1tNa0tW zb;loI0Pb`M+z%S4i8T#{fukK_+S)L;LEJ7Y1mpkJvG!vci~E8yy>PQVdd!oo;h0g$kup%!`77dB6W3eFC{3)~{zqSLsAQ74m0>TB&ET}T*8oOrxX!TLluR;mzqqEN zh3aRAa!vB!d9#{z%&QORuqnYsof5&t(BY|=;1*AQs;M1~;rd`yN>Q=USrKFxkjXFz zNSwaDQ2%HvmspP_HvhmMwom|pTvHeW@~z*Z8JXe0yvxi{!Z%GjWPRXepeaLy2Y-|8 z%KJ$~f+xR^x&V|IvZ>S;`*BZLkjj|$^PE)qPfRLVUCx8 z!^q~NlVe-jJx$hA*bwZ#rci-st7A=&N=$)P>=suIpkOPCE5H;5h#);3lAkwRB+nZ!fdoU93?w^TPg=ALZD@R5H43UVQAf3wxI$@`U=Aj zUFuj#d;*96hjb|X&EO!=SB0DOZd)d2Z`~%Z7cV^OedTwvR=>~7oeO%g2VB013bc>a zD}Nb$M>$J(%LRyLYB!IaNh6g)F+mr#?eL*JL) zwjy70H32MKC~YX~ZvMQ0ex3@7BGGEM*wRRc#Z~p{WtTq$Z%i1md1@riJr#UI)+0QM zEXk|2H^Y!5p;}}f=`E-dN!goS8hE-^4NNfe@`rsP7* z#a>q6@pYD4Q3aiHbe@N-TgaDH8g5F;Jg9t3%g*ajE5)oD56M$OhCU{Yv!DUwZ~ngnSiRF-%}!SR zipxfc;$8%#ihCw?*R=-ClRp=A!H;W((jV>Hn?8s5{|0}1DQE^omqR3kiw_Fo-!wKH z-fV#MZQN9hZ0b%rzCdj2BCy{47U=tveh$Q29O?S)xOPJ|`tuDnHNW%H5aB{~l$*`` z-(FfXuS9e!L<}!SFfvnqZMUe}{>8=tK8s!~!;^iCUvX zr!i=1d`71*{ypxTCgE!6cEZl(1#i1#f3n(nT>D8a98=0vT4hB)x+LS38Teh}{k6|a zS>7<{ysA#B8;JeWy0UKgej@-TZT*eH@X)eG=XFV1ReyL^?eeq+WcK%oVcUs`ZQa2& zzf09MoO16oIxVSgDz*^>uG}82su3hQ4a5Aks>X&1eZ%2tr7Ol2;5nDXYLe}`(Q}W6 zsS;jY`2F#DTbTxgl$e)Y-KTT*d3f*9uDjjcs(#Dr(BE(2z;$&M?Kb(ozAH77oA*^i zd>D|htgW{-L{)=n#51ltW}{@Mlmps@@@}^&DF!TAfFjM;NKJA!!2`E`etCQdReL#U z_SnS@a(s})N8_hQ+ggh2Veb)|pwz|$v;3Ok?X>Bh^|>CNz7jOkg$yDWv!dg`GgGWT z<53GKu7vDf8ykE7qNnJ1cTsbyZuSrFpg9YIZU2|#HT4x22AzC?kO-7#{cbu_kAJ7X}@Nu1j8QIau@*Uxt+!lm0?RmmJ`tXM8ge9zN+?2Y#r2 zLm}dGV%K0n18h~4?2$17Q~G$F$r9n#)eVU6Bd2^6X0@ZcO}Z33`w#hZ54XIQ&Etog zE+?ZeoQ1zkQo`yE{#bo=^x)2&1h7mbT341bx@8P=um;p*dU<8!hsU0e`ccORiW2?3 zz6rBY*Mq!=_jj)zUq3xeo~#Q?87#x&zox4@H};;Uw#~Y?Hr{7u-Y)&3dM&u9ky`Ds z1rO+DOS`Lb&1+ph`%Xu%cw*~y9qI_~(6e<#NiuZJG?98Tlvc>uXpXNEb5VS_ ze9A1-ynZ-h_Og|<<1{mou+td47>R!0|yKf08 zRcr4z-Q9uV%y3xPtI*;5s}S891R5_zHGYq_MwU(xt#YpQB#YA34|mGn-Y#7BeBX2r znRQ_^$uXCA{w^Hy`^+Ptf{oer(9V=>xrjlJYI)ydxw45o842807+VoJU59DdvEdkina8sJ`Eqia;58Kr{woaQAEEy zQ&EIHlm{tsp{Vz4Hq=nDtNI~LyOyYya|~u0@Kso`JY_H-{KJ3MEBYkFCE)X~x5h2E zB@@C$_a+s6S8c=^6<)icD`g~$kg~)CU0gjmDCa7r`grkE>I4PEu9MVNB!<`D)Wb&Q z6Ui&i)6XW&TlBb;Q~&w9LLxJNis>jTkUxiHAhO?zNv{QF0F=|=XErkw^G-}zA5q3k zrDvqV^JzzHYS1Ab7%U{n`C!E9Lt*)8%F)RMr+;S0ifMAi1aZfxLM)SU_ZfqRW6J}w z^yb1fwgl%5!&|jWj#MsYS3_H1!80M}^U0Tzp}|t9#f@yILgdd-f(3tAK+#7Ic4C|^ z8YI6AmU#V!&V8tOaBMAlKYlxfh;5tf@J6Vh`%AfZ0#uMKP}>1Zoc$8i*--+`0e)Vf z;#Y(K)#%we7@)GlMqG5U5+G}ji-iw>0ZfmHH?{#dFOK7R&$kY!``TAO~=@Zp%3xhjr8|6#$IjAC*qD~0-DFmNxSUj ziSes#chZ-^Cdp^sq`AfD`{mKp?wl>A&a(#kF^08e9pNOKS#}kIhIe)6l-WgE7D`f$ zF3TyP=wOIZBbq|Z)XpNuQQcN;Hp)Fv;lb(Hg2g)dBHKs$7(?fRSE{EEOxg!PM|MWs z>|+iBjuGUWSPHhxOu5*r=KhQ^d!QUBgnfZyp~FHZnps?n*qG|kE8tYY5p*zBF7z6b zK_QdA4?!^)ClHD=>>pOuZ%=Kk1zJ*{oqpmeq1j28h-Xxp_Al+d+X-xBUXl9 z%>ILN$8b$AXznAHH|Xz2Z1uXr;-}n359p};=!&IlFz?_}%PuE2Y{$7|$$L8ggo+iu zsa9r~@KvL8$m;^1w0awa&{ZP~yCt;kH82x(bf1!W2&c$=lfk*M!q&}b2&eRT6@$4& zHd=|)bR%&!XXHC)gqILObzyNz*o^hK?C8=H{vy*??upbp?@Y=Ky32>+;j^ zxRk9_F7@>I)AZ!TKVqFxf`f&Bz91PtCyQRxv7j3<`MJhdnK%lfwQ-ANn3nR&>i$P9 zXFVDj?%|9yox*`evEYW57>J7Uwn5Q{-U2k=Ek0U$vyTF)8!iNRY^M z{`0yp>f@$=(B@@sy5ac*SNF5%!QYUWo`f|cWg5Hasn79mk!2?ihD+H~e=noGw~1kP zeM?rY?QI%v{j+T^)yNF2`G}cTMxNO%0qy)x>7B1m`r(fmcdU=xLVVPCodcVC$%m3Oj5@=0cj3_eY&UXx5vi4tk=sPuECQ{u&atrJ+1`I|VbJ@+?uSFIw^ z@Daa#9CMKfkQgOWQvur%E{~6PtjnVNC}w9|4&jL^SFy+9Q%?XF%U@-T%snZtKP<0K zCcB)9!pOLiRLEeGkf>18zX}K?cl*e;Wx!)WCG{nRkcxc+E=@eZ!D^CArGC>cMemoe zp2=Cf58_&V(cgcuhR5^zvWq9!y(vo-*F=rmM+oHHP_oo3`q9ZCsI`7<3ZSu-=~LWLb>Vf8Lt4L^L|DSH$GjF~E82 zGglV3wyU_vx^6iW$&@wN-3(Libp) zLxyK)+pY|S<(58Fn#xZN#SjQOdw(Be{++$#JSvMRA>QL%&Djg(JhHapF*jBW3=PCe zCsUC)wZxw_H_ALu!b|Fk7%0IbN;4b_QGv16I-vM_B;oPoP<2A$42oZDea2%+0wpaN zfK`m%vO^;d9o3S|Ia-&_;{>lM4ofXZIqcD)umyz+12POvHW=U+xvcg~k2fTVM}_W5 z-+$MZ1Gkyk22Ya^EB^3Dt_t%2iNy9}!p&Y`&B<~Hgb-KUaR-TK z0UVJhOjcJ7=lNA-aL;zAT89Z199X^bKV3^;6l?EBL=YU1J2U%r_TlD}pM0APlKcql zCN9|(TTDmqyAQG- z7UUF{$Ld1Y#wVH1`E+N(njL7sb@nW~`e6j!h`i7I&Yb2O4o%P6u${()lCBT05+IC+ z(s4W~lu{-$pUs^)N*kp}i~A(K%XAZSVr{UVj_y?mH)!6@UEFm;yBR?L^)PuFLY{TE zAazpDdQ?ZxP?RJ8)xyJNJ+e||r+K>RpoNVhaoQRYPu6~(VZR!#pO#Fee!!5X@?jV50>j{IgX7ddmg)@wK1Ar?Uz-(4i7Zt%PRf7N4-xQJHy+{2ajK? zw{G{!ruKDJ8_IRHwp;Uau#rbXj7zH~eJdEurT(|}hip;+Yxz&TR4mT>`nM`=`Qd6A z`!@GkQ;u_FWnI;m!mC7^wJ zf+#7j+Y&?tc_>59HmP%SYctM64v&FHuriR%AouNzX6&8MZ$`77&o4DTYj*h{VE$Fb z$A9v7pM{)QIWUgBV)V^2f;FQ#2M$AL)3?kNcy_eS&>0MqW6}-Q0WtIzv`hkNf^;MMoq*%_3YAYioI4Mjrd8Om+##+hd!7J&Elvq|-FSoaZaLJ3 zNwGHPuy?)`sU-Qyz(2-`%0CoYXYh=Jgyyl!P&qnPOD~cv1JL3IA2dD^1qp#Yv>IO~11Bj8&fVVm@}ael>hPVSE!P{QvVsqd)USoqkix z^FRPV2Pgo5`7>W+Z|LYuXKZJ0Z|cZkZD(%hZ0hd(Gi+qvtRic-$pG7Zs*c!mgi1}x zmJcxu)={wL54T2y3^-?iOv$Df_a_)6xx`kRD&_4X$MyOG{1_)+3}HQn_9E?XA7Ec)1BaRir+RgL+`wUCmDGpj{T~QS+HNu+c3o1tAC7x+Fe-qs1Qmt^;X)tHoya9L3HcL4Maup0 z^+_u{l5&MD67(u)Z|r1#&zHH;(~i+Ax=m)~);T5a>G^|YQO#i) z0k0RLzvr2WJn`{scf~{XWcgR`x^)X?@f<|`;PVcCjQi5mm>twdBvO2gRL&}f>`rash;Oj3Syuzi8YgsofQ+yp0bfZ0Jarp z7GVlK3i*sM5$L|7bZpTrPtJ}|f-$lg?J;PnnP_~hl?gn)I<<3r`i#=_v3d#kA70sf zQYnk|!a5>(D44{{K9^uo_AzE)At%ylM-+d?qHQ{%!)#9E{}X?(!qe}Qu8ANlUSSHi zgo7u_OfxYodb};oOk)8lJc#9nb;v+v1Q9{FV^$TrYIhIvh5z=`fY5$ZOE>ZIN7rjy z#3lpdyD2?mB}8a6&vY{I;9L4mCqLa4dXPO4;t~TKx*KFa)goW1&*#3cG-u}wm(xo| zeFwoCt(1ral@>IIhh_~Ag~P>peOCWO#dyKG*&sXa%Q!@*2C=RY%P;x$q9PJybO2N5 z{5#RC7wG@CzS!wh`sV)3-MCFm-hL4-~pp zcCCJ(;5(~N^pRL9BDt225D9&biDQk}(NcSCt&fbP37xpL^m*ylV9icsvufP`{<9?a%ABx*tB+{RR6=8UJZVl%_;dnsw$L$DR z$K!@d)#+x915K}tv8oRKUBOGt$ie=}pN>L?Y1?iPR;6vq`6_kxNa!!$J^IxuJiG)P z?%NxqH%Q6?-2N(dvLS*&@=D*v1pkyW_8-xV(!PEfjy_W&QKGwFq(xvY5 zOL~cwOA}9TTzI8Ou3(OrMF;pG6ZQ$TW@zgaPrppWXYqYTmxdv@C9W+Tw63a(a2^Md zV5%w)Lhp}=#kgv-ia^>FY-8`XYvZm?zl|1dE`QDU7%#ketiyT}MAq>01>(S7`GJ%Ce|LyYQ8ScRgX!_Ad%ki2uL12^FB@$pZ!ed|&_oxc|kC zn}y?l>7qLICt?s{j^2KvQlw>T9at`S64pEwTJWl5mbh}Ge2@w@^bZtSJe@xSTo2aT z913-;^}c3tKKjh!ZbjvG`c#xEUs>9-WT7Yun9J23&Mzn9Hp9-1JqMw4Y#a&qACsra z{fYxl=id2<&fyH3lm4Onkwp=I9jR%S?8R2VQa>u)5z1xOLip1sl_h+!#fZJZk?sI< z{%QSW&;9Q0_1#xS&=-+SPguKLaIN!KHN;{>KtMtusIJ${bxN=e1$z+L?$MK~q;@f|mCMFT z{Gvp`GC`9)UMm>)mL4%o&w$Yut_!2iVU4G@2j&ykK^{CNJ3kT2OMZXEv)ty*`T$@S zJu}Oi;y`f!&=>WA&}E2ao>3 z@+rkU$pCCT_s-2RW*A>DUZ8yEO@ik}(ddmvlyUlKCfTXBvm6{R*U~@x%Ej)q`fPH= znIz0pS2&TwL5{TuJ!E#o_bDAnUq_-B5Z6_3{&vKVwr$lu`56d)PMnYQVaUHEX5@Ww znmVAqm8W*!!zC4d_jSj2Zc9d;1v=-jxdj@88(x#8Gpqiw$!wy;HaG-1EBjb*e)H$k ze*ZTEmh(Gw68$jX!4H}-evs_sYEEb5XliI;WM}ATLib-x|E$F%%Gw4oAcm|yQi?Sq zU{;jLf{I)~Ra7Xge#rDG8If#;*uQ56rw9f=9TFMSFzQYN`r3=vJB1@-ny>j_-+K_=Rt3&~I-*Yt7U#ItbrJkxks`O| zO4Y<23Q?=$2bUM4CfH_(NQHz8ZLgdS=yUSFgmsmU`iKrzLl|bXgUXa>SdLW-9Cbe- zW&boF_BdLRV!abym{zLTNN3V>%`X_c=q)UqZPLuEXxR(f9T-G0Y(+&laVvM+rxF&w zi&*S%T`^>#xY{WXRJ#)sXA)~5udGj;)XpcvqcQ$}wCYvwBya%*01V*(09gM=s}{y~w*Q-8>i;*p{LyQ(#-=UK zIFe6luhD=HbRW?>A9LujD2*2$$j*=e8_v;qJf#-#R6MY#;*+mW#mxClL6?-ZIlE_m zW_D)BYWaod{pYA(b=IJxTjPeU9J#C6uW84|HN7gfw9=%_&-a!*@9%%}N&HPV5034c zH#5(h+lMFe7@KaBC(E8bUX7#jF|y3e%!?;>{JqwC-d#I4Pt#eC*QW25i&K9OXOr*R zkc1X_I(4h*u^&cDEVNC(KWfeSKOd%}PEPFCyI$WLS8Hc7CAssy2lJ*+XZ<|k;a$y6 zA29qfSduC~zuxY=ecjyHJ0BXaZydiI-F$tTwYH{0>}!JW7j)7!xjWbNWkiA<`5G4G zGM9(Xj~-u3k8i5Ik=U(mpdBn?8G;xZg*%YFucf4mLX?f?IckYO4yvH2Hj4jFuAUly%R7;s3un&N?dUt?m1S(hS`V0@5%v3P?GGG)PMf-5}i{-QA5K3`!3vNDtkONQV+i zH$3C>ocr}0-gD2a{m1Mz`?J>GziVA{#dr3AN1VI&EtZmD_(0$nxA+1KA;Y)=@o@a5 zlpJC5>A(c2uii&zf%@|y^5*)?6j_qE?;3PcA?zXKPk&NIPr;_p$gj{grNGFUeY=AS~6uF%cBzl`Or%3-HR$s^oKF0Z4Jd1$rmM!Dtp$lF^PKUb)I zl8Ifr6IPb3826TIJN$XVv8Ij1-HLgK6y5vCg2Gw9yQ)Xq>JcnP+2F2vp7fhyTHL_(O5hKeqhL#VBlq=S0mE%iUyZ%T7|E4v1=`gZzT=LNRFlqxF zUCpt{(F*B)R&X%MIEJG*{k!KcD$W^qsoK_eyywtK7#V+cAuN~YUeoEU(PBwJqhhSn&DKi?>sH7pdhT!gOk@X!N7;NveP_{HFDdFd*?IJ_B_-nL!Xy zju;8%>P4`cQkB$xq~nK%IxNJ%63(YIv+B>n_pD1+sN|4t`8>o|_Tu|!t5v9V0vgDu zbHVPGIU7&W_@AQf1Vjd-u*3$q5=-ZnxX4ZhV9mT0Ckjx!#JUF31hQ-r7s#W2GI@TS znIO3rY89x~pYt8>;~f&|-6)#vI~V*w$JO`TQMxi__@m9nueyc4YA`@}6E^C-a2rk7 z)reCZvP05Pa-m+=)T*k8F?5_aS2Ysvt|qa{+8CBnE;!CL}6 zZ474b6b_QH0&;p+BOlOG@IOg7v#MsmR@p;zK+;!>qjx-SR)B~Socev$?+sPGdatIa zV1Z}EfTMi#2uFF(d|QqP%(zCRWndY%*(j}vL?GS6C_BSxNsx>rU;Q)40OP85&8L?T z6C|QRNE(@ykWuEwoz8ucFc)`&lULCsCb?qQrYMI9hOKku+%;2<$AU zl|$}3>{n7IkFQd_te!4;(XBn?Q|M?O+3T`@WtY)>gSev~&mC-;h$l7LTa#Sa7SO)OuA( z7nkA-O58B888zC;+=#KP6<$)EdLLqJcdf9^Z4H=keR!3g&1@8{Za^x>2Mg$teKw*k zou&Fp5WuoFtveuAnCbjPs0u+jVS7x7MDcLB?jjvO@q>Fx2}V%|rKbl80$b9eg%bAX zSb}Srj}|02nWp~cYrfURI6>lxxz37VC6E@Jgk4WsMwXwj8t1 z*pdKT$q~>;#FW$?f-Ay=WzntASY`8dn2Pe$F46MCkA@^cSwD?*3?YH}9SNeKX`b7N zM=L)iw(Uf(aWRwWSWCHRTZTDDwUn;Noh)$2q7iZGy(Z1W-J_k>8iR;O5Id`h0&%!f zEq?*+m7J`!Efq9I(90I1Wc z6+N;S-ASHd$bMzsI9}c0{+tB)R4bbNvI3cTqjRK>$I-_)rqL^u`GaftTAv;I?dR(l zy!D>=Mv+$v=C#rrC`~icV@$jkbR|IVOaTLO-*|nO zGvd49Xzb^^7FeYFPfB!=AOIT#3>VLkz_uvaw?4J?N=4bPW49WF0DcQU*j7lNyg$C| z732pawy$D|lhIj}fkP5iyt-A`u&H1P3ZxDQ!0RH97oCXM;So-Lzx&PJ+F>A$XqUO>rLC3cC_sKn^EgcdlIWBGrsaH0+pvV z7aa7HiX+c1ef$+m7Pk1w&Opmm%u&<)oR0^6Q zMAfjalP6#EJQ|DHc34QEQryc9_3@jF?V&stdFn7YwtH01Zpb%6C<}g*Mx-+BI*-?m zAC))6l_xepBZzu=?(omB8!hfD6AT`9@500G-_^(8VfX(Ah<`6V!6)t17U88QEMKLz zAoV;H?bHpuBwG7w{mjRG3kbWwJu64s9^%6O(8}*^eu+6qkBQ-e^r9{))svgUBar0a zp!^1_^iT;}W8|@PDW9~WZzsXY1$VB0C#it`ArNxxjAEF0(`U?PramqDh`p*zk>kQ| zuKh}F!wlPd{=G+e`$0#S3K%6*)_5E(1K}1cpgO^@mEF*Pe^v#`vggn4w#Z2Ps!!Qn zuJ4EIG#hsoUX9<&fSP7b^I#VP3-^kgG?w{f)r5#f`b=3YRc1Vn-t?R)^a;l3qcAZt zq=aBglGekc2EYk#DeLEgJr((wi4?M zpMKXa=Jx&_^HpOUyTb?G3dq~U%$b;0a?!T1L-Bt;d`na6%@g>;PeDdNApiTr|3~GC z^LN^%z8Jf}jqA&4$iuIk@?%aeAE|C$vx$&SlS8dR@6?JYn@;-Cvl^<-yIYY^6;``q z-H0`^JYn9q&zro3sN{tT1ws+*1`!(|6Z7jMFZJ3ooGTAR2gyi~@r>P7#9$ ze>kd4cr^0e0(AOX@vK7ptKCL;g+%kq)B;0Y?>L37lS&}2-&Zq?wAT=e`P(LKHV-CP z&)+bJfQ&RgJ+?RCM#10L$r_~Fe3OqEHd624N)rnM_c9R6OwpBkCJ2a**UK>%#@)~i zD;3-P%saW8k|5|TCkRX%Y+wPlD9BPmdjU~C7F?+czU);Q5P?TR-3i_c-xUQJClp!F znqEU)wAiZyDT2$**G`pWi^ucDOrFt_hny0O+ZTEeii1YmsG0HNUbelrZ{ZjK(%o|T z;W>&2E<9`Y+tJ$2Ph0CUdQOVv;DvtS=&=~nkWpTcvfFl!@lRVh(j0(j!|y+{l|?`x z`n#?C&dmSUW5CU%C)>bbQHVISa?!A0`V9x|VBv*vnWZ_mlSmHIwQ7EC?OeIU4-b5Y zS~sH>MRWgK>Lbbp7?gviBm<2_U#_Gl%}=&%gKl$nS^VZ);2LO9VIFm9ut}Z+Gu&PbeS_Xf^=(7`fNQ zGjJ#H)VB=D;-uol%koEoN?!^G%(EYSqjA)j>(H{(OT@UoQ;)Xq=q0JL({9FYurd`>ZxMgd=2DgSe-4@qsm3BZDD$Hkl1|FCCw)1~Ij3$?zkNI;glw3!b&Si5ZA&|q-|S{J;#xZ)(4)WH(9ZIxm8+#;tmfDRT=!aLB#~KMrWowPBJVOGY@o(0A>q zyET>9<0mkb+^ywDiP}P0G!bD%@FQ7`QI)k8gvIYL_f=9~lU&5V#h~M-yn-NHTE6%a9JzPgiNmmkcB+pB4VYLkr-6uKXfjJp!}5uV zy;!1D;6hSq^nzGby@F{n%=Lg2mVN>ye8V~${;d{7SrP{*JQ-ZR8* zVvp`>*BCR)U`3pd7wItTF>T-eap-a+rG&mVfjZadmG4{DT~j$@%=uQv81>sEuzPZ(G1@_|-*{tGl!8+0^^Wwc z8+RJ?b;u1PmYIGnUD$ypZw1<}Lt9p(LKS?d%FiXcio35vuRr^YyF_qha%!daX_++Z zB4|q}BLG-)XBMa?HTCKh2hse0xz2pCa#dfcKF9yD1RKDyS#x=P=_!)Iq4hSAjmg|i zk72fC-Z=!^t4UM4xb^h)VAWcAm$w9qOKF~EqY4IgE3OEq7Sl>(Kf!Y?z-uum^jYpA zHt~V=9$E)+hrC;R;w(zl22?+WaBs%0$LM_NjBvjB9s3nWf=t)u1b)9fyCC96A1@o7 zG@t0*AHmc3+DE!i6yCHHfxcQc*t3Or=L_DYnk)EGEOL+^#5PN};SVaVvgNLq9EqAj z6S%xM4qZPFU=9nEd~3Ed^ciJVz^1Cf{A5nHMif|jwSq}RHA`cU ztrce@WThER)8*ex2ir7J>g?%8Woopg?dcaeXn2X=$Q@n2sy|GMVYXn|pn|dw19aFo zu_J1{$~9_mE{%(M;w~9uzl+mgPEpW%;S?ZmKiDH%a4>48{DQwsV;2A+lz9oUgz9V2 zYeq~nz3|n4(v-#Wby9mT0^=v8c|(~!eP4LWM>kMhBlJMowxwSUbR)gb-~Q_uhUp96W8SnFn||aI0)4)DDyuR_MkX6Z z7f>-7bjVaQanLP{lQ4u+n>!<>WT+A4E+*CuvkDsNHfWk-PKnRkG7Zzh0FYMfo9rPA zzq{}Y-g5(~K|@SzDQ8o>z>TlcA34hA8Z|n%4>@Wkt}`Lbzz{&Y*a1bSKpnMqyBQK!*R)GtpWZwI`-9Q9gZQ>+v=qhk=JjzxOwX4uOZrlolEtAS*RWmEXd;Z#`>JG8klXv3kZ-Uv;F& zz5XTT+{Cg@EsVHP#ei)cPkstj1T0h+4GTVfZ&YY{&5OdlT>zS&z8=bmA*zLc^=4rJ<;tLQA=a64_}C-tv1*S4?_^*F!^ z<@Rd=S#>BonBOMIX=PvUACS`md-z|N4ostU4M$sZ*#vYGfrlTZvMCrK6Xx>+>j=O3 zn!10bvSF&ZbHsEbuqjaz3Kj}yCt<*Hq_nJL?o3oB{T6QE6mF0Env;!xhP^$KfyEb} zEflX_+Z#S<6b&Ct3PPsl0p1bz(!GA4De-uX%f_*J)^vrm4^VlqQ}N)#>Pe3&I_lsp zU2g{vKAb7lc+AS)ZB9myCNW8i_Eg35z=xC1T|j@LQutMr@pv>ESeuWb5EU=IPP%W6 zHkH(w%Zc6Ol@ogqWgcjyx%>Fr-I{iH#+tU%4+R`1N7snboKLJ^wuzn zpcBN$@=J#7Y=ynrucZ}nSRGx3QKl4k6Un)NL#ZUDvJK)gk?95Zp;LPsXn9TYaEHEXi?`_5c}}+(>l` zu^=4v`89i|f%a)YFa+7aM0a=3?mOY&7xe2?q7w$xsF{xLgd0}y$v5Qgp13PiRuKuf zcq{K!&`@vocEj-aDrjDg>8H8e#s?l)-E!9e;B)*WX{2~^FF3FwPTpmP&IZGW(^!wI zT-dB=VaY0gLCLBsrLU7~MAt6o%qo%LP1zT}XIU`45t3u8+)p!uJs((1$Lfk`a-v93 z4pGGfNN$*!)7&kD?~KsC+~oDxwl&@5-&{Cuz{0+iR}Ga>jGe2zTh{p1bG=MdCO8{5 z3Sm15BM#~Dj37aY`7lEqGJg7tfN9Q1yZA~zOQd0Y`^v`dj@eQFt$svhJfN*WMNfC# zSlK9aDf&hm$?K6JMOMv`H~LE|C8iwF$j{78`<<^@AX-meA zRns^;1O>oTm;bbi;2rY6dKx^xMKiy17LK@a>tJqNndNh~(C<>Ye5z@ZBn&R+$QZZC z~8C^1H+?InOz>wfFci#0w}xV<@ijn+~7P6GILDsfuN zSO6t6dV&W$N%S16xaAom0qqKF{&!NWv^Rknj9FjXk{q;&>2s%QEQg~kGbl$!1js>U6bvUgb{A5luOACCG`yS>!ap`=YX?$!I(^>yr*Sh_f zmeaXvm?JK%VQWG>XO<%X=m{0t{QQ|hUEXR?fsG}d0gud#HhJgBw7OW`G`Jtez4^s0 zs?Dcwi5{_|3?)b&HGITG)*0L|q;)oTpXXXSv=Mfrjn(;48=pffOob7zjm9L=f0qjdBg=gXo!jkXVUTo)TlS7`>iuj1n?oqObeZk?mhijx4oZ8><}`k$`W zZv*)M($?ujiQnBqnRDSD%bH#+>4JjJh$)#_gE~~%cAnSaRP*+-_J&e{soD8Co7Y!o z&#fYt_P@4kYJZ5{O6QiuZRSgvNL+_q+tf+S*^z{_$P4Y|c+_r{l6Q==`GNveW@Ewo zx0}N77}sn+l8kLVIecwQQXAn#IjdpVdBljt-V2W8kD@T!N3~R5`O?=W_LS&ol6b&Q zJ2Z^>Vg$&V2e-H0DW4;o{5{pJ>DHv6JSL@3@DfGrAXuE2JY%p;IZ_svDP>Ie~D>iO^2Tf;310e-Z9z5ZNw{r7eMCR_Wx;Q!Vc z!7t1HZz8sbl!vOPKNJgrf7d`gBs^5k{2`bL{YChPSv=6uJj6W|RQ$o^i2Q~7kG$gH z(uW=FKT9n{|7$<{A>?7h_79|0>~Gb%zx09suYLOv^RTS<2lEY{zr&s5zZUr(QXbZa z{!kX>|3>-8`Tq924>=EO2Y)!Iihtw$y^`<{@zCf0K_qJZAL3tr0Ukmgy39Y2bnQQo k2M+WhHhxoKUjxoM*si- literal 0 HcmV?d00001 diff --git a/electronics/smd_blinker/smd_led_flasher_pcb.png b/electronics/smd_blinker/smd_led_flasher_pcb.png new file mode 100644 index 0000000000000000000000000000000000000000..effc3ecf093ff18491b8ea7999ff0d8d8644b5b6 GIT binary patch literal 4872 zcmeHLeN+=y7RTC(wJKYyAXZ^}`oS_#(byF!7^)VnlyBw{Qy};iTNR0d62nJgZ5Jwt z*%k(i3ZYOXnS?|F@|l2^D((PH1qA|_2y}=FB$x;xCXgNKo?W(IYx_sf?m0W>%z1b2 zd*{BFdw=hD@6Cyjpe+mLEt_X!W3yoEJ8y^C*v#b<@5{4h6Pj{W$~VL?J7MEic<$V} zJ$=D!G+zyOb zHd1?RM|o^@^1>@$ta{^K{$RnPHS0F5oBvYl8`6hgPP{N`@Bj3!?`k``SHl|$suo{M z*(m3V)MVa~Pp?DOt1IaD42vL&e|%r;cP#$$M;6;*ziBVpjUzm&QUtA6glJ^O$;?qu&uRXe`)+g za9szqr69Y$4Jx0;9{CdH-5D{J2QkjrQ9|g7{+<(%`GP0yGmEQVP{s0e(N}9IwAHGfMQ`h^NY!NZuoNFmwtJ=Xk26nm19Isbi@9 z`62n|4bgaO6^)m*O?TBY0@*P@9`~`B{Bn%!!Bh~1hIf84Mr%=v^%D_@=hV$1zCL0x zXnWCa8|+o9$}}|t)s*N}&_*me32iCihlRreSM=s$urCApvmbJ%$UiXjJb}EKYTO;f zQL4_lOUjvtxDeVaEB5y>7)BKl$`AtTx&PU~&)EHuI)@4Jw8N=i5V+t=e>D3uXUvg= z;M%cyswmynCx}AM=Tc^&gloQxFyMP~l;8F%?zl{4zLptzW(HQDh}h!+P<>Ac1f>N2 zToQPnPg2*IJWJz?Ga%P-a(y`#tLZ?a!jZpu3j>&OlhHySSvjUHZP<^Pjee|d`53b_ z{J&tneD9SX7QuEl7J zofgnPzQI75ZAl()A)kiBq4eR!0Cx2DL+mJd{32}p>TKXdXwCf}LdCSZ>?2^a{M*U< zXfL{mkSx~w=*gs|%v9hcuEYlWn^T8UhL15*a~coDlwoal0H%idA!RenE&&_!}yjEs4Hgf-iv~%qg2ks*mRHT}f zq?w0`?7e#Gk3)9N1W!l`u~%|Suz`4%H+KN0zRu8`{q`2cR7O*eFA>*cd$QU~-S|?P zI(BFtC5CSJ)WcBf7L|&mk3Fiix+aW)*DloyCHiV&`RrUm5MlliO7>Fb2w=vNk@-*Nlgc-$dwR$&rse3dxR_l3|$N01XxBygfwaUZ@k zl1@&M#~cWwkM)>F0V0RW`-bv~=>kCQDJ89_SBcq6OR%?)tlLng1cec2B8B^honJ1J zo+9{UJsyIX?tcaisbeG149JaLz^Z8*uil}qhA*Uit^6oD)67p$`?$Ul)^?5lIt_fLKiLO&8?vi9Alsgb2m$OTP z84f=8N{bM^$eT_Q*&`l)PbaF!C3|E;b0)E_5`3p0$ZG-WA?C4Up-GONn--T3+`MO<|Tbhi8r8eh6jbWgkF-{@|+SV}&j z?PFKbcQ( z;jR+mMt8ZQd{W{Jm7WE(+}oR%fCwTqt#M)r)_UXRGq+`EJac%hx&eDQI8W$P5Q2|) z9hfpf*{ioyQ1FTT8x1tP@A|CurIL!&Vnz(!Wz4?XY83jgPeVPNp(=HTzH7avKj;1= zl&z!TU8!HERJXAaBYC@{oS-5B_NjMnN$ZhHhCx-=b7M$;tQ|dB7K8g#fhVcoiPD&! zSb_BsV|rK$i)5XmSk|D@ij0`+v&Yxtw3sAroFeX{Srl)YRpt#+=|Fg?^2`% z7T9$sFZFb;MG2-v9w*Z2Y2EAicVi}n*^#+9VJgJY=Nm%xTZk` zJ;yaWOAl9}BtUN-b>>255SPA`lglo~>_J>D7KKbgzG({^ebcm+P7-&4ls|3lYPhoy zYJjPc1W~N}HV<-Zyx}WG=fl)EN7PcQoZ4FnZThs2)1$BzkQTEd=n`yqD6ScF*^JJA zEz>2Oql)!4i?Q7gcHdXDmI+Bmx50S~+JF$d(`VXCr4P+t1++X~+-YBw$Co|`!)ZvC zZ~Cx~KpjWBTbIiy92H^LE?@`0WZPFs1$s@V8WmZ*E?cSYtckL0$c?jbv?23VwMX#I zQ2OXDK54nOQU6M=o43o3mxLBw|3Md2HW7|nJHQ@Pv;eR@m;&i)(NPwA+yEn&#y0S! zReRTc(r5cDWJAO}1^kQbLzX>H;ZL+!ZTB3N171AE~uZOSQUtDNW+q$7zn{Y|&t-3e2- z0T!)+AAnpL(SHDxWtL-^xC9jm!oaEUnOE|;qjz5+Dto6NmM~A!ZkslOsf_T>gGIwPkMKUOaYs}t&J-&}2W#|7U0RM~}Uc r@=cPCXMMeae17_$r{D1z<(fI!e>K`fO8niYx83R&^tO28_TzsCfbI=e literal 0 HcmV?d00001 diff --git a/electronics/smd_blinker/smd_led_flasher_schem.png b/electronics/smd_blinker/smd_led_flasher_schem.png new file mode 100644 index 0000000000000000000000000000000000000000..28ae5927b4a967e343441d37a20fb7ebaea5bfb8 GIT binary patch literal 29552 zcmbTebyQaE*DZV-7$AZOf`}j@-QA!_NC+a_fPf$%Dcy}CD2>vM5>nFL($do1CEZwV{}75oJwQo{K2fxfSs8Os zSKK{2*c^zwp!XOb*F)Yy44+#@tj4AJOM$Oy)WAqzfpK+MN?U*O+2_LC-W!55;H)EI&Pen*hj z9{FYYb;%kE_`=Ik4wDpqL8RB||NRizEf{jL8W(sSF#2R4Pd_8^rm%PvQ2&(&FXhL~ zGo1h7bFq_CQY@xw9b~A2D;41UEG|(yQ9lrMd7+~6yE|Fh+uQpI8Z96w=&(1We^mhpqvnf=27sqW8DT z26^+3B;ry;ywYFvPAmUq3*lrdvoDVxPgcFo^D;(wLO8CiO$Nn5jNKl^`BWbNJ>EH? zTPOC!gtOCTVtN<;=}+@ISMGxH*Un<{IqEpGNquKsvQtI5QX_h~>VY#!j1HP1uIIW9 zbR23@^|Z|Ad8W(^9Emh7yF4MT@!W!XG{r)Co_g$nImbK?uEr19>{hks*YrN-h% z1oR9I4S)KoJ~ec9j6N5HQ|49Q>Gje>t`}$m z1ugRQ^n^Zx7C#H=pD(L0+w~ODVu|uC{tEcMFN>oY#VqhmeXOLPjC6b!%lhIN7 z@_6jT%G9;%je4c8LYkP$tK%^e{{171MfDiE!io0%@MukIbw|Plsa3n}qKcCQ($MJb zwBMV_Nl7`@i`_4=?$}R&An|TL1j~wB<1q>e80*AA~GLdW;tGl^MRaI3Lhx5^BI$KzAgUA{k zd@r7;HC$jgN+5*yo_pN>W1OJVFfTo<$Oc%s30LsJTe2aW>3YKBhqwE%u!7oVbn2MN1zq)oW$idzyP@qx7tK|zcmV0@r z-F5Ig0nh&Z4sSAY=;pMFEAUuDhc#XW+>t=nB&7EREEqIVS{P9WG{3#_Ap09GI?Yi= zf}cskm!eYvdIPa333sJ*==ATV7v#pzs7UeQlNC*I z^7y<|+~Bb6o0?Tz>&a-`PRq8Kb)ZAKOB%x=5uFEi^YnM*WYf=YDPGe;J4DfG^VaK*Ks81%4w5_*4=uwJ@) z^KC~AZ(9s+uu`V#=34ps#T>hJjWV0%#-rV3hvU`a>sVM6!om~t`^+A=Hjz8X^GHwc z|NDOK?_Yx(S1w(}A$|M%3%S77Z;GA8p3h{i2Os(~)e_U`UYFbI?yrrFy6lf}hJA)c z%lYqF-tkRV%KEc3UdfMvf$>&XcWQZAI#JyJeZtV^dz?m(@^P`q>z_jj)P6E5n{4jr zprWPq-XC`gg!1e9`6?f;_ zJUm#O_Ev~1%>a`!W<$box!%e%7P7su?@AD}ULTKz`x4=Qk2}w4-!#r~iB`j5jsR6= zy;ys?KcP9}066uU9B_(Dk;9-=4>L|#!vVw2&JHzPWYW4d*IMd)$W~KRBXGQuPdVJ8 zssSh#ge;*K&u^;6ahqv)$2SF%nVnXV`;FWZ@ml&4_yN9W(vDPYg5_)|a=G-Llg8@| zQf!ejd$MrPR%8MEj2{K?_|=HxIZ*~Z)6N`Q4`WngA-d6@ef>5SN=(^ojNkM|-KQJ# z$>AD#MViH?_p_^xj56n_q4d9vvI_jqrOy>`{Wd>mIV&Z80!_}?4)#pjj79o1OKIMg zBy`onkayNAz0GB--E$K}t_#=3?YEz!Vq#;Oo9QPfYsk#)%xcp)HCgN*)3`Y~QZX?x zRqPEfJ6GyTCkG74lP+j)34{W+PBmKelq=E(Af-s5*WljKgz=jF{v8HROEQU@WK(rG z;h}?JK|vxJN|_sbdo?2?pQ%VmQAGaYs)a_L2{Z@C$H5U1zpI50JuLReeT$3b{AZDi zN*@i3pF_VyXBLof1|+-}mnWej^HxxvF8LE!C5nASqIk{fX0msFbo+K!DbG|=U)W&W z*SN;!VCq*aZlsxN#d=T&_KuF-ZRNCwhovQpuYY&6h^$Z7r-#zpuUGEd?}lQGI;~g2 zB1us_99$})la5QllbC)M(-K4q52Kz?Q?;=;NmoSLd9%=PMOo8@0BQBk8&ATRH3O=v zg{P*bP{;v9MMeLlDNygNjY%6A(3F;z?yOJ5n^m8N>8@AHX=#zeWosYpEDCJa-@b8| z&BL(g$JgLsogM*C+*@o(%ty{OAtdCdn+O9;j zTM>v{lX!F+2U9+f#>YJ+h1%286Lr~k<=LC20D=7#_O@tloctj_UupT`9F1&hX6DYg zMWsjvQdJA#lZ{K&r+fEqQ_B|E(TNO(!h@B+nv|TZ#X)R1R>paoO6HdVhb7=Uipy-= zugl;OHT7qk<$jB`QQj*zNessJSBCOq_-uS~b(*@rKfMa=?BkH}d=r`5BNmoF8lM$S z3Ul>dz20x8j%U$r!LK@6qIXJp=rM)>h|Mn_0(zB7M;mXG`~0?VffJoTdlA?lwSv~v zRrpT1$aGAq=g0HR)hfR;_W=zjY%zI*;R4$I2{++N$6f86zg-2UV`W7+V!e29bzKH; z7rT=gG^<9(7q4mALBo@m#>B$1uuLCTFr9CUgf^SsJECDfg#mv&+4fzg8XPFIOc6-6 zTIk4pNt&?s$EdJktA&1LtbAZR?b;MB(o8s$a&o?`tQhCz=SxaU&y1K=hvrg?T+^Zk zR1(FOl#^Qk^4K3QC?LQR-Hu+HOY?!Fb^UmLFQ-d@2)czbJaFStmg<#$6p!D+s#uxBl|TW@S?`n(YD7B@Nh2K5R1Zrj-<;mI!AjW88fR#s6l zv9B>PGLIjlb0Ix~yrv zHhS01?HuoTeWE%Z3NGf`w+BGak%}?nb+OqWv$KLLV+f0Sb)YyX0ibkO$hoAl2e)=D zX_B@Mp5t>PBRbSVXT1L3Ki?TNDk6fZ<%W6LyKrBZSzk}=`VD}`DP>2(<{F7B@cF<&nqA39driNbfZK(jmnja3T_p18US`4e-N%?YW$e$5&wb5nBz zj`69WfFmd<=wRBHc_lUgHzDAQd&))EgQ=@`nAD%0pPjD8`WSqZp}Otr>S{b%@>R_D z&SuS3vJIFoE^YLynSF(3>g+7gDX@J5n$TN4J=J}eC2wjX7*K3?ckEU{1L< zGu_}@@NOVWJo_R0>vLr^yI$L5u$)khF_#Wk^x@=39Q(D>1>=bCxCvE3a0X{b1J#xb zv3A;Q=u=NsuO);2pLb1$3v%~H3k-Wc&Cb3>jk}$@jJqD20MCG%m*{f52j|ySw*C}H zxGms5zn8y1#)AhB-euFl_1Ai-but11v=Pp7 zSE7XO;$?Et(w0-2@L=T^X{(`g1{R704Cy|Yv-8nX^_Ub&hGqZFU zvxNBHq8J~&eqK{(p%XpV<2E^&K+rRD+hDjidUawY$!dXVc9vjrX})p2(Vp*$4P0vQ zmoHx9gp=Z%vzu8G9mMzk>#_ms%i5i!QkJkDAM81A! z)k`wpB_Say8InIF+9NHQ>U>ULDaX$f4mam%=6$k1+ss6iMW60hYqD;wb%l1cem!&j zH)s<0&L}lFG|bX5k{gR=7+GxgTTGoeIAZKSu-qV`l5+r-@;RZ;D1)H6_6oz1 z=U(#DHxCFq;`QqqpQ9>{mV_hEHaHwdEv|+$YyNl_p5^D~MU#78xj)`SxSU|W8h`#+ z9=~5Klai9sv-(UI?;f|wG_zZrS4s-ucbBIJZoU&*y?apsy8*?8k!11f6O3l!Hk zVZd&oE1smy4oWvlo=dgB$KddBzgV&qBVFHP#96|_k6scYnJalD+umeR7w&e`4g1qm zB~dJ67-;Y0)bkrHu|uf&`Kil_Q~%5);u)*P*2jP$!j*Kao}uiGu4+=Txjbd*1Z4vZP( zI0Bo$f}!3uTz1j04(p-o4i%e`!D1zU^e9Pn6Z^^~0EIH$mo-2md!Uu#HQaokot<51 zCz(Akv%KsJKzillg^xAw|BYJxNBK2xe)aVgs`7?40Q^%G)C<>;37^nao_k!s{dXvT zfpMg+w${tfuRzQP6bl(y!%z`48WR)K+}4&U_jI#~ECt%$o}^SSEx>m~1QD0<;IAr| zWB66-^L@8-348mJN`-uS|MVw`N~c!+usmPwPN09PmSTz}ve+ysQi;jtX?+s$I+DVS zi;m&93-I&10rP`dWSVWg*Dc!?DIPvF`tWce-uui_Fj`xi$6}iL6ZXAED34YEPJ^xl z&q{@#+~Q;WK9xTzq1B3u2Nc-xiFomezyTvO8)t#_(U z_tZeR&}sD3Y7U~jagXapzN&R(Vdj;^>*O9e*a%!C^<^mMyPdm2!vH$*7TUGPr%wr8 z2F6_Li25@UWW^<-*bV*S8Gt6#7b2V>v>{Jdn$&59wQ8s;_T%0y=gb@^M$|(;@!O~38lFjn}sXF@r;Yv9`E+;SNVF_%GnwX4Go?* z@ALgBvCshwmwfhY^7mH?_&hf2zb{JL)Oz`S68_NAc*WUW-mb-B#w8?dg5mA}n(@KN z#98BgyHTyz^$IY7tmYclAbfDY`rN-kTML*C+`4f#hj<`0(0o_ME2DRItk+0Ssh2VT zi1ZRBKNsL}J3F}|y!j1gdf#@;I2fM*%YkAGoE^;Q$`;J8uXj=e3Lwi0ZUM(O=bsca zO|@ilkJrEJKf?4nXsomW5dIm8j_P@o;+k){c;XZQH3bt>z*xCmccv3}WU**3FB|d+ zz4y6FrCN=-tUw8Kc5zu8uXOUmkvaj{_W!Bhu4@;^URA&xd%El2f|MY@(%_ za_1~05p{BfEG7Nv8)NoQDN)L=Xnowp6Td0xzi966hh z)Qv}q?gP5iQK!C2p2o+Y-L{drkvUROqn-ODG}1feGxb5QXg@TZtWo!r3aOUo>mpXw z36ht4dS23_9p>idz6QrW|7ImIFWQ#1;hNms&YX^VZG#q0w(+DVS#ct=E4=%5@Q%^s$IRC{x+w2_pc^k)dGVoAUI_c~9ww$p_MTgpuE6fW1zuK&&)i9VX(#c9apq>KmZjlZ=`(U`jhN% zInxBwVDGCi5atg3oa|cOm5CFG1U~N(D0~*dzg`gxGvN20#h)gM=s8f3bYS(LoE@(t zWLTr}sd1<~ZlM&SUU`+({a7#q)>(5~PM{`=^W9*T3xB!oDniKZ?d@N@c=1?7&;QCnL}#AE(oe0;p9>K(a}r;GrAhmD-S z-=99CLeOJy@$lS-jH#%puflWRadde;8jD+KG?4Xrc5W^gWEcc02Xl2mv=kK=x7<&4 zB4V>ng~=0X3C*gi#h_r_@x{m=GL9vA;Z-J^J-}|%OCxl4paZw{Rkf=iDJf}Nq@ZvA z8Dj*G#Y12vl!Ag|<7t@W9^XDW+CwMy_V=gc;<}UAWx!}XIySaATFMS$Tm8U5G1Z!? zmugC%(c(n4Fm!(X8v1NiYEoxl!V!EnMo_pQ3~Jr`zi#s4eneW!<%G3aZ>OKYZIj_* zvlu!u@#+FN*r!6gOUZ46#eDtpu8$d5c z?u=0%9lqEUFbig@1WrVNaG1T7keCP;lN_1-LCEEJm=2qKMdUx?rCz?fK9uR(w{KYt zyOS(6M>&bHVdf#Tu&@BQ2sRxnZ$>i~t^nqvczAhl5fRz9K5_tEfP~-HPsrtHkfM_B z$$u3Rm9k-EyWUMQA;0Ww=CN{RVSH8xr`(3vsQbc6+wU8^*Mr|+_6K5n-fBAK5Relt0ccqK$ z(kG+2*}rf@Scz_c;0pZu)5dR#z{%O!`aj>FIxMB7@L2!-QmJ75EJHba9!`~qpP$`$ zkj35I9kez0OR#ns=KFiDwjD38k=OgTFxt07@$NOQdMgK^3`Xk{gV@`R%-{8T<^RZU z8{4w$m64|0tCZZt7e8zahGz71XqUY3?d_cKC9?;d+acYL2 zWI{qhkGr-WEE*cxc68(#auAoYgX zGo{_y)*ls9aTxpG5~e2-c-8Z*NbZ$KMH#N+d*Bw!o7O03P|p3Z`(CQq^~inEFfBB_ z0+94~a|hL*QVOewddl|I-LvzA==WJ=>G9oVwFE!hgkvv0zW`v;^WR{SM>Rcav%tc} z&JL2dPHR{@hvlh`?y>vwYD<}>gbM!wG9QYS5lsCWq7 zvi{ad+H~ikN$y$ol=IY2eE;XISVM^!b|qk#nwp-#V*uPxED4_j2@rL;04s_1c}D0{ zi(*@w@wZXkAz@8wFsdz|9^8Kqsw^4s2 z6wO`>sx_o#0hiqBbUW+G;=M*r*GPpCsFaaxHct0A{P z>GOIBeGfn1{bk>W$2NbyJ^2W73odi@37an=bCDfi!WC@zkTncB7X=j+8c?KdJlgNz z+-G}xEiNt&kp?E*&QFU>+V$AGy1GDyvs#Fy__O@lB=l3NJ7VG>0HTm0JH$^Q-#Irw zKm7JdAB^!YU%oW{@)bAXd(Ke(N5{%I&`h^MjN5=N0|&6{&ejyRE)#C_OigbA9kX%1 zJ^B!I@x_s1=1RxUgLD}3*Rw0OFxS@BP#`V@5YQ``A8zTE*)m3vK8CjJcINE#gJ$VN zeZ8pd+9)h&EOK)4lXbWAr!aG^ughg?RML?wfF?vK^o)|48u~H#+7EbmN~m5EiuAH` zy_U{^K7q)kh*GjTQk?&8fC-U`VORrIU!tOXlrks<1Y*8F{Tvjnc}{(3B?_QO zzqx&|IU{}Yb6`1=-_F$J$D^h1aP_RVD=N@Kw>yRPfxwrPlx*w{Xey^qb}d>zqN1{F zx^FiLE+we1T}Figqn`s7}AKYubraTvM>2j6}kt+cLvm0Yw=Yuo**&lT3s{|8(iYsizQcfMttg0;KO zvE%_a7jWjk>2?4EC3?OK?OX6b2)6)JgYrBJ#KDm zdkeEpXh3q$A`z?hWkl)%!3idd{>R|19i@w7#@#b4R3+v%RqwH*Fn$X|j=;{Jr~UC) zv57@taL~(-{QdhG9v%!pw`!KN30_C$nU3*+7)LSV((q_sS;>JQc_ZwPo6yKH`(0W^!WT)jai;~ zt)18UFD}%$^X8n}^~JGZ2j;5xP)WXb7`1^H+BQ#g9UdRg%+HI1+}+&V{3NmK*?NRO z4{x({IO4IO6EE@H-R4kS$MdtO*9u)%-B)d@We#ChsR|^4TX|1Go<$! zEzvHER@>EIy#qsp&C3lhJXj_?4qK0`=G(r5x(%G{uAH14JTVa^r8}s|yOFRwQsP}( zzyfH(Vy^fFGq`lTkjqL;rMcqgoA;+r4z~@mvcne^7L=2F)QWs4JPhoUCJo;sVujGr zUkXbrtLD~Low`rhU?h8j*8j<3rf~`u2#m9XdAeBeROjH_5I3RJY9Vjsbf1=i;cZ_! zTHs`(PGE0P=VV#)TpKJ~5+P?5N49!K01^OtnJA7l)frP`0*Px~EJMaWbmi^Z;)TgT zyws0(X#^pUh1lPDyPX?_99Tcj;S=~D;3Yf$x3K_?q@--=RhjYK|MC@(v-9%0w%o@f zGAfhUHMzE?vFa&f^6&T{+0z6k(16XNI`IaST+s}!Rr~qHyNJ2q+u-gi-KCug4F(zE ze=OrN5c^gtm_NIF_wG;CLd;m%&j~a{H?DlbAu}!J5%KyYff;v&2IhZQ7pldk?I5|p zsRBgLEG#sE5RHf^pqlA|mJ7;AR(%XDaGkk@o|MCbL>$TI&*$1A*(2KZTu(Ne)bz|u z4e*}*GGMv*MHFxR_iR*yXr9n-b`Q=qJ^Sm*nAK%L?5e&zc@ zYk!A=VgB0OlbSr7>UW^xtS z;JFr8bmmB9WhFi5?=d9{qaa4ocM`{y(j?w6RF3X2p?xR>1+f22oN;}uJ~a_fD;5;q zUl)Ksx@-K$he5^dj;37sanU9{Crfnx=`X&hzfX{Vp?H_{DlR_$+gRVf;-Rq;O-jf$ zE0<>9`5&z`mLPMXz2j?{;?f?iuQ|RSDgQ+8m#hf>8W~g?_102vU^DVmq7i01Gi&!xDeriP*tv{yUO2EB_`XsCmua~=#C&!kDTzU{l$T7 zGH3_Mjl(4t6v0%_r?bk}rV$pLtNAn`f&f3i?UusIaN#>pMLq&P^?+dlO?ZVEir&2W z`o@(da7ne{Nr9h%OGZWp0>uS;fVROh8+xF%JMffR`rrEVlUV4!_IZTuh12 zGoKOxq-g+H0WqBg<~VJ@xsRHh{)fua&AC=#5o5&Ce)zVWP}T3D?1Q*!Je4~ zqI~)4)k`dIf8zp7f$%t6zFw74ID;2J4Ju~uVI&>@;NZXK7+#^u@%VUeH4!L9(vKf` z0E}xTGdKBcSFZhvVAVl9A0kd8{PWXwH-tN%ot;tc37bzk#o13^N9+Z#LVN-P0}BUf z@7%hj6&sUdKSt5D0f?wVonr05BhnjE_ZdegR|Pz;0{Dpf&&`P0a(?CnUc~9qZrz(N ztgd?x)ELItWog{Zt7gfN8E%O6&Qp8jMU4ly+K$e zbvvTvR%24uB$bUq}wBceIwFbd4OfBs1KEznD63`U9= zfhBEj&CC&;mf6gQ=GUIKU-aULlZa zKLevcJiT&TMqqUPx&GI$UkAf920kMwHntst5(W!0_o!&?iN6(@jIcTF89VN+NCF7+ z+O3fQL}0vuNCjeeL14&ov6~V}s-(&%O0Bqo$(}28goR`){zJd0LGgevLk^Tbn4Cft z6%|lBSAk)a>$-t)87S^gET*AB3QG;)B!71ukysoAML?O&(`mYSlT?5v+t>C1hTNrH zFv-~ryB`4uS{yFSu!)NWQi2HKFp0v0qGk0wPg6)Sw=#22pg}Cs|F{p0ehD_EkeJ%o z+Iq;u)KM0glA^y#8Ubo)Gw3`h$f+>q{`8HHC+ieCW$!2?#jYG$=~V&2T{IJ#27_zPkmK~yAa zzBAselDM^}C%Ew4faU5iCnyUalrnVT=VJt&!hlL{0*Z`v3~|Z)i$jSh_KcQVNuLO4 z*I!%S2SS{&YAs3&Gp$dPv7)t;F0U#H8pAhTi9as!et3OaEYI%lxj zyYdEj9WuU^4&KrYe$t9$OtF!+42=nofIxRFEGEXUy4uZqw*Uo?1R5Ghe=v?Cgbvow zPxaEEr=M^3*)Czb{|l&!VwXDZ(gEVM#qtw^nnfiO@%YV~2OS+9{R$mGSU3#3Js<)S zniA*eLn$5h4ZK6p7Qpr?+P>9GW+^2NpP%{($s>S9gJhQA&*uJq3QUeDq&r z##S~qa{zx7R6INpa9Vv*(RoO`ZDqwzHYH=HYEPSv=9i+1 zmk}38tLBzLDe1TYMvZ=V+ag#Kdq+s^CojOC4{ZCZz(fQc_lnL&fny+87`;IA_C{by z7DPb66hY_;I`tIpa0_&tLGZ~UP2k}GYeEJU&hZ}%ptq`*n185r+7Aj3S6)0_oio}( zGimU2f@2~nD+^OT3>*t^{wQ-x%ZGsYwX4cMRh~cx94fPU7iprct^Kz@^B&AH8Jk?X zo6x&>c!;1x8SY3Y?-z%I4BlO+W;Z%I3WC<2ti(0>Iz;?;KFq7^NgaUdQ6dmD(dLSN zRcc8Iqs$bH6#X_@rbSwHSANLGs?wX7QnRw&0x}*Qt(LrBm@+$?!SSyDr?_@JkA*ux z1@66j(x#?ND5w23M!Q>eB_%vJNqF9z0?faJoR;Woh+Oa@RvpMRt;YF4pnk~3o1W5X z7)_U(RWok)hBv>RuEP;VtUo^z-XM6W7Ms%z#Jr!5WH3qP4V`S)8=ITGAq&6`{uo@V zsbO$fSR?dfka4vmO}gp-{CH?#x&T}cx`R7F&`Z#<(_HB3>F9hyLhyn0c?ASy*;cSu zpNPD8@dcZ$ybsVC0eD~-+A#W}An$iyO#Y{d)bg$Eot;OpWZu6=wdt|LvgQnm$F*q( zvf2)ibpQou>co5KcD7B%XZ`oFiVBgImKH3IF|MribiaGt0ialJgHg8yUH3kW^~g$g zIV#A+Nz?#z_`ve|+>Rdr*LHO{^xiuV?F7Kp0}r+_;C?G$lc^!%nIhl`Q*4WIzRPiu z<%r@VS%;I;Q%M<_idCXG9|57_ZOA)qcS(@Jie!y$XHYBhgIk8?C4GE+^S+UFP98Yb z$goVf0C~;}Ibf|8nN4);t&SW*m_!O637gb*cH#&N3xgvGB+$x#4jz(Lt_q}6v;h+4 zGaI>fnroNQ1a1YSM9B%6)Zc*DhR`K2u@NqX0P1pfb%*Bpk+O#C;T$nv z!jUA?sR3%*ODDya@Qz~bUti{`WNzz=Q6iYMx{Xq8B~op6_Z&`l6;L4Jvsul{ba!`e z?Cca2jR5U{URY@o{hXC`u85XdHY&&m1FN(oB+gu6!$j4(f=VWtRPEw*k}guQ3lFa~ zJ)Uc91LPjd6Jh%We(S+WMyEFsp?sP>s#NL%Q~PVvABn+BuuEVw-d_Kg(482|a#VH_67Hs3{fshIigRh*~lZH}pbBEW~iomrI z!t@NwnR!?77zTHEtQ>(tM>GIMNSL;yygb~%3Y7G1(3S`H9xs(b#yqB?TkcXwVPzf( zV?esQ7&_yAr+h%Ghxh&A*FT-t+1n01f8NcB3fpAtN<}Y^wzjq=PWYZ$yc>!DUAUqm z`WDIPM{L&UlJhg~elFlqa}5sLt;WGoVKwkDBhI_s>6#=JUPkV>V?}h!l<=Te{RhCU zG&Zf@sq{XXy(qMK?1dLgdd$JmxGIX;ZCQ6gu~bu9&{jhEubI ztednzWh ze>46e#o^JXT+ZXYwPXk1JCI3DYvY=5Db60{BJjSg&!Jrukzpf4ocZIgbmF%zBS7=e zqjap0mvkwxlwbPZ|5mRO7p?pD?HjIW>79CX19)anpFQ*1&9MKr0IERdh%soeIO8TweU25%H8G3w5stF*p?yP3@Jp)^FMq3ZJCMNI>LY747@v zys7W$?Z$~FHM6P@O7b8(8jo#p?g!jcez*`l;+$_n|Mjbm>Bx@?9#>f*5>@MnY;7)c ziNHxZvL1pg^PF`pj`UAone5Om*tK-{N)Q1ZV zF{u8Cov>~u)VwK4PNl6n8H3W}lhpYafioc>Bt+U)S{A6$`EP12zLS&R#5$?@c=ZtE z@XhUQ`mZz4iZi!Gd8bAFOdScY+E+=FNO;YpXADl%#@2n_YD^d~?;55c{XMsQCeH8abecbXr$1ahd%1MVb2Ouq%@eSnPHx=s z{=#~l5YfpE>mQCEWvqJD%4t5jyF+pX1F^zjCs~(H>Y^QQy$96mF6DP zMpOli)lPXWKJh2BU`o+GC@IsU2{!E9hX6i#=r1qN)gogvwXUJBK^Y!yn zVJf+}Hdw#lzFn6NV<3lMEOnfHt;T0GwPa1tW0kG;5(8re-3b|a{AB_~nDzi3j`mj7 zOryVi5$f}~jgMas9>5=ibT_^Jv?w`G5K^~+@oi3=yJGzExIW|U=l9cAWA_yRG7@*B zrJ;EPxgZABf@=Ur@Q;AkNEjDkn@D05NE+bd-<}klJHDO(BV)E@uH+;QkQ71~)$g{p zSzrS)F+5*E=s{vNN=iy;%5hZ?@3&s=_lJ=Ry1p*L=pm3HCw$5gD7Z%iK3_d(&PacS zY$qLKX%8-PX^MLOKhuf$Z5aS@6Jgv0llVvP!`Viz{S(@}QLecL2*SMio5TNZ);?Vu z;Q|#-9B;~*MvshvCV?3VndrJC$zYWtc`kKb3~JoUnZ@R#CrdLuluVaMb}Z~+e>BUNfXbrFmn>!sf7{^Czz zUs&BI9R0sv5_-zP@;U+HjY1-~AQ_@SjDa5nXF+8qoI5b^;NuNdQC+Dh(gnWpqs8yGQ-njukL4qIV0VGm#$-)ji`t-^MR8Sh^gW0{O+mAvEOK&kx=l*z z+PVis0H*F*P;Xbh6yg@^vk*x+zjz0w0cqd-vlYm|!BRvp+S}H#lGM!1Y`GIk0-X9k zKVPv!VhW;Zpg60mtN#?fcjFUZAx&N-+-eklCzgEhB|-3ZKVHQ_L?x}dPoX1v8(+x# zeAwUoLa5CXw$0bEHYA+mf&K2Ghpb1T1K{!kdxOOrR{+g13QsI z_}5D6;uf*Umxy?i`%n=wV)-3?JE4pq$lxq4E*78GYh`f3HIno5k5J9ndAJ}Oh+>3X zh%=R1p^72}9N6H;1WtE)Aa4w+8WJsqiFyvU!A<2hky0=+VgqRvI^9t?IZ1adgv{yG zR~V^j)}}17re~cAI3WrSA;Xv7xr(E|w1C{DqXu#X;|NR{pxP}njzE_f1b@LKiP9rA zWp>8m(hD`ge|rlPA-jqkr%S3vAq5GDMMXiHSdGS;@7+Cy@Fde2T}OdM9m_BHWIS3H*?SVWk6@MIm_z-)v6<2SW{cg z+?)lbK~SD$spKvJ*+r^LIh*SCVA^dH)x#0~WK2Y!hm10a;YtR3tD0`7p1CBrQc<87dztlKL^UO zE20^zb3Y;_10}mMQXB{zY6@Z`u*i@kJ_H?e?Hq4@2jc*oxSN$3^s>Wc+q}Ka&1tx&Jc+yc6_?B+NJ_feJ*kNcgP1K#)^PZFK{MO(tF_mQ>L356GcF z{yU(9Jp(x&Mmm)9+2I0I;YS#aU_Vlz`BW{&9R`(OP%p2l&jKl*K^JL&dC~sw_s<7V zDX0UGc!$9I09o`cXl=#q$DmV@@R+}cyGejXH~;?qfQzeWRHpS)56S~50i&aI)uVpqh;EB& z$hU4Gii;v3AZVz=c|JE~r~u)dEG33`z4qVj%?)qa`kr-;{_l|@FH5-}W;r}xo3OoR zB{aI~?C2d5xq%bSu!-71${j*97(P^AouS7flB~ga1us~jH;7o?J8aKy{!I)F`ug<= zm`F%818J$CZzCHQpmZ%ar^OJ>5tIt#p0rd{LxvB`He{Ru|8X8}TuEu^7@L}vVN)zL zY}nHHces!qk~?Wztl^aW{Lv8Ho19vd)YJRaKEv-WSZ+51Q3#OPkgyNbKyd*Wb2?C^ z(DifMxE2Wv6u$BlTYpa7s=YJT_ zmL2W6N1*1AGXN1;w`i!!MXl6?4lhLj1axqSk+EIxo|<4sg6(gKvn#MO>LV(qw2I3& zCo?sb2JV|h>mIDj%|qq*+pJ6p-KZ}SoD>Cc{yykKoF8P>&_jr7v+4kV+e3; z01J1cNrgFoNCxirVsF}Q;LuczjO}GyFE$NRLF@Jek1`ez?hh!)bPINghW9~u`2`x*0?Xg6VA?FOVk`qLD;mz|C606Yw29sQlCuC^HpogRVF(--!20^aN_ zDp*>Kz?y~F>s`xjhN@Z!4HaZ%rrBrm5tFoE{rtRz3F(81P8z)scSifnqq3=JDkzv}=smDlG)l-)VEJ zJwKTLPySzZ)jq&d9SR;y7ETn2ATealeCDB*ww7DnK3JDzNRZSL4W7jXDIocZ=v5j5JqAKFb2|#TAJW97^^S(IAWLy zHS~aa2iv)jB;Nt#2uzeVLQ+5*fyK)PvI*Tj10-l5UUpZ|kpq5-ZnL~+dAEO)hC6PU zo(ptYWLppH-Gjo&pSx;`T~{=*^KEqRdXuzaP9F7$QM%$> zY z;i@;DF2J3Hw+Z~`y#Qy&)T$%KV`ZU)3+>GL&y7^Cj1-&coJnJ)lTbgG)E(z$ej~*` z^|GN^g^?Jmab6sAFWTJJO56dnF|uh!u|epvghO>Iy+wK%PyWtEuN5IvNCnBkY_pov zLy|s!Gd`aLm1?PRjh%e)#E?M=dHfOwH`B3+qwU>u4(=7rugA*x$+GH_$st=eiE(jX z=uN#Cz9;k;>Zbdxw%)& z&zaV4(anFUcEdI(Am&iThTYM<6X&Zmsu=#_zUlH^Jhbp-W^hppMqs`#`-Kl5D7Ow2 z-agW7s#n1Zb#dD7t`NiGvt3fui(ao9Q8tbCsrP0l-n89*vaDFx+D_o_E}rrI0WDc@ z5el7YnoMu>6ujd9y%|`{%rPKH&Sqv*kS(T$cI8 z1ZQ=dKK`u#-w2n>6$vBUTrL-pPuXl`Ma9qM2u^UB>LUn%N5M{1X&IRtj+Iqy7#h+@ zkUWGY2SjVc27I4jQ|N=`GdVel_pyrGY}{Bdc@NIg0?ZjG`s%nU_b0LK9LUyIuLJb8%nJYWR?&x~W0=cwg6d z!U<231e0HcqL395Tj)bY>4)CN^n(|Cq*ATOPEwDCbwNKcKr>!(VP7t~KC?cP$vyEn zBNdRh$n-GEsmY&ylcb`woqMDR?=J6rkx7g1%))Hlcd^fhbb6QUcCKY@vA&+d?5U0q zNT3;A#go0A?#3BjIl;W-L?V_s-E>>RJ~7Rk2UKR!j6PMlOGEr*Y7M1fVIMTI?IN6) z)M5~o5qJmQ2ypjT1qNSVZ_$Q*4gDxFlhC7pFn7SyJ|AkS;ZiGV#O6T_l^)uj^D~5f z4ZrmGw#)9ghY3MS!OTl^DC{2_cUZU&X;~yT23Fo928N$)T!J+ISr3iw?_i2W_)&r5{ZPv{fu>s*$|~mKv`{D)e$1B)*%>4 zy(}z2J$xgU8h-Jp<=t-gv_>YQ2!U9pmnv(BQpiIBv0oxXw?0(8Z=rj1;qB*@=C)&r zwvY%x_U0IwH96)iK7H9W0nmRUsjKt7y}Z086zV9nUxLhOK5>8+6%|PQBvJ1H$!>AI zMtNjMq1AZVW6`9qT~AscQsLje4eN_RzcXgfhW6n@*C!ML52jQ+(&d*=W0i-gL2#%4Z=C@mW3;YvE>#t=SZ?9fLPJxFSFkE*42ZrrBqLI;> z{bEW=RFRQ)>+9=ZC@Rh-W1j1Sr3Xv{m|iV)yz|A7QU8l+$<2N-nWs4Aon#atV`eEFuUD; za0OT43P`2o5%Rod3fyihQg2DCj*$yv%G@cnn{Wz8V`LbSsXEg5rzFlX5wTibp3rxe ztKzI~Q@C*90$AsN@^o7*h40|uU3@=g%3SrHlRqsI-8<5N$AxNWSLe;(K|BkQ)QKQ#35Kmkro3p95S*go zfMj1`58Jx{t@jNqG75o~ZShvEjNIFhAVD9D!gkoZY?jY5*{!yv&l0X7j=c(_wHdm78Uh4b`=F{dT`ZtUqB*|v|-LN*K$q@1(H#q%m2bw- z37^9GWLgi0Dr+pp^@G~YwykbEq-$g@nk3(CxcE?m8gH!y@*#erSukX;S(5=3VUMt2ae zcAUW@tm`Y}5AleJTOi4E0eF8BlpbvUxdjv1Y;!O~L1ZH1&p~Y~fqmGKTqaTaSUs0h zr=V~)zpsrsnIEkY^2DC9Ul9h!q9L#K^lX!PH|wyJCc7_d+-Mwy~Ba6ImCzo&_?rV zf)Idg5`f&^zxXR0@g27#_To2PI3Y5~z;U>_oD2T!4`PMLhPWc1Sw75>9UZ2r-6uY9 zXW?g-V3;Fwj?hSS61&f9=>fS3uE*#ziSh#QvxyO(Fc0>7V0P{WEg5=9h>9j%KsEw` zDq2PsKAy_WPaU0IJud#O?&f1~Yp!EsV<1@$2oP-p8{M7_mSclA1pv<9tFjmV_6hm@ zxI%-jiy3__;F65>2pvpbf~R*42W#Q`Vo$Eh4b<6Ic=Z?9Q4FTA!)oC`jtT?fpCD$p zCVXoyZ)SQry@KU?NUAON+$=$_k)uf@W7FKll-45|#F{|6^tiDWRbpv@b6Pa^K>gY-7q37HW|FYGa`!Fap8$4@Vr@prBeXbp3l4XCbi65786~m_d@=mk=yU%b3R}JhnR=tCaLRzmfc6tahMQ^1diPX zdML6jvbg$`5d~_p7GTXNr|U)TF-t7ayjj4s`#xZF84&=WZOuV+K%+hLx<9f=d!Lw? zEkf|E6Apob_#QUoPJ+sZkZn6;Zaa22>fV6f0DBTImPovXjT!t9ERkO{Xhk-$`;3%)n8Tz;G;nv(*6GSd`A?%An$OdTcWjJ`_RVMThXVL}VoCK;FdEX4Y z4FG^f944fa?GFCy*RCP42BZrkQPS$uRWm4b7SmB4+fzI4^P>UF;UdF!;4(QQtH=+4 z7M%yq1cdF+R5OL;U=1vdK{)#m(ylFFH~x0Vz~XT|DLLj1Z*72X4~dQ>9D4j)Y4_x8 z_ra~XXnt~FapmT-8OYcp?~H(VA%V(-@eAHD&;l{t$DlRH32a`5ms~vce1+WAS;~~3 z%n*2jw<3hX_EF>wUOv9Qt*0^oPVvrL*CCfO7gugSbrDIS4X9gTqxfxC-T=FVmq!F| zY?y1fo!US=^~x^@tlZ@`B|%wGw15^IUL?x;|XLn80s2I0bCX_ z&mrh@`dcB#DCn3Ju!1H|*X%?kB&;A@PVuBBF?jZ6h*PGL$G~CMr`1sR)@0$=orf44Fe2lWF6=KK-8ctl#r{o_p6_cipqr z`Qx0u?eY7053gxkZ(Z-}1r09LSVRbU903=Q`PmQ9<~x@xKHOcZ!iENA#C-0;@2~B3 zkbs7guXM$V75Bj_Lj*nSF#_-{e{pV@NcHi=fH?O@1|8LR-v4vcmoZ;O+B4^-#IY!dOxAV7KZF z` zT!cLivP3P6W8McR^d3w_E7vkph8p=6eLM>4~R-UXBjk>hG5qFglg&i3dN9fT3_F<-DcXYtoc4G zN3t|N^ntOil8~zt8Tqnd&-^Hhcso**3sb4t^HWFTt-jYMti?V|l$|tag9mC4jFxs$ zOf&Uonx37Ba2wq8$RF`f0j<`Kt)2u&}b;X}2K=1RaDsLPazxM4o?P z_!)RvCDem|%}3gDF=&o`*kQYojSbo?UO=FjH76RiG)Uvm+YytJ-hVmEuu3jlpI^}| z@$uuwU#<;#1$G6wkj6;#ocK(=>-40Il}cmLhXUb#z_`2eAk^-yS(o+#)OL{GfkB4! z4crD%{a?X%H@YMGVXFoHBNC$0<+}qauG24{L4Ugbz}yl4R|OK8wwhzfAl<>1s2@Cd z(7P_nx~Vd?YCQ!R9Z-N9r=Li%WyQY+O%_T_NT$n@m)L)VFcCst6R%UmJqc zZReyOxDYrWx)qA-qjd@neB5Sx@V=8!Fh|OvoizuNh3|~)qgnm?vaht3!kO{$$Oie| z!iRHk*Jv0Tvf|wK-~jidazXkKQWXogbkST>hVxwOz|K#H-q^I0t%Dc|vChCLzs|qx zJwVZeMIHNGh8k;HHVW+H#vECix(P^B}%+P`Z3m5kf%Z| z;d73%NV|qOB2ewCA%3<_OwjbZecMi&IRTHhGB~>QT$W)wgW!@8mK&%v_Z>{Iw3?phe1conKHX0xmj9L?zAle6C$K-Ie`9K0{A4Z zC`iy@*ZJ7gVl+HF5l>GD#2-I?P#b<;b&c3iH+1>S>)Ut?5;{Qo76u_1&qX=Vygm3B zep^&<2Q=qPcXq>_S|}8!g5hrpMMdg6usRs>TSiBDC z4pyMFd;Gz3kh^ig40Qt87!iY*0kf=~Rp7@W&xrH2?38f%Ha`hnkOUzdJ?6|nyrx@6 zbxU}rgrJxOvKktpE8Dncx23?$+N7qzhebx!{D}XEQ@&S^%bS06#E3nr=0l23D&`$K z6Nb`3hoMb$-CF9Yn{n@9v3MVhvd$+~Z9jjts#JtA(C)6jnY-}=KH^{N*&`w;83L6z zCg?T;L>GK{v|Hifp;hI1>?PK31{XSxYkwUxnhSGQOKb^kr5XuQ@{BkJBCh0CN5YZj zS7VVnx$UVzXzKmLg|DAKoypm}d?w#?x3rAyJKgdkzUr4o9p7r0GU{D79ICK8h&O(= z>UXeob5`qFr#|iH_YdnD>UGI=tvD0ZST!s+3a2vad`fg)QG2(hDhDW3+|E=rv7xx%$M;=Rdznp zP@*Y|sCg@u6of5mA#5kSQN@Ad@oLRnfTDtar!} zR+{mZ9ys^r>wd?HU1W`iR+^}x@DIPZFa&!5Y{GFQ>5i5O-CWMTM_+OM+yfPtr41sC zUJAdp-~Vt_v?!Z&Q8D^*e(p4e2M9FZ4GPF~yz8O>$+wT~Yh|1FS9{pf?-nVFG zA9JdA;qTOw;~skIO%m^Urap);y8Qb74mk-`?&FJ>W~s2o?ue>6Mpz!mgiYM1w$hR4 zAygu}x&x?ypds7u9XWD@xXEQ@zqs4WieEgT+AZE=^{6S5#Vlp%#-8&K+Y#6oMfwFa z)Tn}^4Qxyr#&aIFCsa+scqAWtg(h^c_;hjHp3Q|MHdIDF6Vu*^YbnvlB0{Ncp$$?3 z(C+F5%|n9BVJrPrJ(Z1sO>E-esD(lNWDrlcpi7aokV``8UI<4s4=hT{Z3lu2tn1RR zSA@*RDJ0sXYS)FSIeyLjQnO6-wa*xi@kY9!2Bu*~QmA4IN<6_M*S-1BjT^|1c*{A^ z->>UJtF6n(5(8{vQ?ziE?r=%99nD8uP(a`=l-c&+Qy%x8o(wVOF5;pp#Sdzh@F>`| zUN}Jr!x;HIdJ0Awf^tkxCa9^y8kHLqJ2T^q^_tE;`l?{az5+XtM(%1sJltwwtQ_OF zqihPjXD655s}DO*^#KH+L4WZSanBTF_fKn0>IV8r7+X8XAEMFDs!X4ZECn~bnVnq?1(_)NLClW~ zV6?nIct4-@?3Hi_Wg4a(tYCLdJ>XbShzy2IWSC%QdtsP{I&uTu>~Aq6OKX~u)n?bh z?~jD-@zzh5@T}{`E^>k6>(8qlZo|SW3g6QCC(tq{muAWpkE^KgJB4&WuJh{baK1LE zN0RZThaiWDL7aIk0`-Vi0~A(^`iFHv&8w*?ytlWPI6`sEk3;?e6!5oI;Bn)$vjtz= z6GlONJ$(SoI;b%epIq{tNOoQspYj&zuC`2b2Iv6#SV<-r@GLsCgeTyQbBu(Hz8?!! ze1kTP_Hgv{=}n+Zh#IxDv~iL3Xh$Veaw@uM(Ea=07OQ2;_&D`;WhFG!mpNec^~E6N zxLqgj*H9s92&9E`FIXol>+1GGF7)&%_tU3O_rr{UxT!7MT1HOO{yY|dM!h+PNQw0T z9~vOpkJIp60z3nfeiw#bv}R&;T}DE8U>9*WJY&9@nfb#|Q)<=8c{ESVNawIuuZ#Jw zYa=L@O!k1u1kYpx>SLX5daCMVexe33usOn9rao*;R(UA0w3CBYwRjnBi0Q;Y@v(d> z8g&wG&;~xTY2(Ik!(#b_(kc|XA$N&`?nc>-#E;{_+nba98t<}l1gT(A{hXoh=>cgu zk(aya9c0G#(-2mFpdJbk4^t2JT1!109VtG*ngZw|R~wc=l?d`Z^0 zFuD|no4YG+kJYZ;yTI|C;UH$L7tv|++u4P_MP5q3@b2xX%c-CWn}Tz`>oeIYU1k|q zGDhw5tI6s<>Yo@n*=hVXXErfNr?%%Vh1%q<*G0|E!AOznUgTM{Wcp}0IXUscX14Fo z&ex`3FIz$R%>Mbglw9twdkqw--2DEWVvEl&KFeKnHZpZ`_OLFjvFIrm-!?>}*G&1f zy!>Hw!v{VKp=)dV4C?>zyrgg=(0V?o-RQIt;Z%G^OeS_|fSX+maAX zuP#<++3g^^hPvY18vZ2@ML24cG-542etNP_Gg~|Ei%(MChGiOxyw#!RET*E24UKU? z2CiAYTb9v#zVA_S@y_2&btB(?rU`eSw)j|4M!$;1=g_I^UZz8#eQD9E5$6_o%Z+3- z6sNXWrRx6u%2ES@bc zbAQd624kh8tOc;uA`NCI85f%~Oia4u=yT~;^y;{omB93`k5C|7Y{E`iSI5@@Nag0< z8ZKkM=isCDzl9ef>KVu7$^Wd6M}2<&evr%-dBf?c)Zip;)^^doe{MT3h#)%5C)6SB zGU?@MRlN6(IaQyqw7T7R2w_Hq&cJ~61A%u4G{J6OavMR51R3e-!k!HfK*P+88=eP< zPB!h>F+5y)<8Js_w3oTzXl)**e71ukYQ59FD{v9e%9Jq$@(G`=mG{W zZ=r(Ug@6K(nwAYmi3lavD8vG4TT!HIuZaWApoqG#PP&mF+N*#|83my*g`i>kQ0p>atjDWUi94_-(MP#= zFXCzkupjmY9vJzCDfeWQQ~>Hd_-p~_;J;0EZri@S0>M%5$>tAP7cW0QNi-z}Vn|}I zEll5*(8eZ&_t*@>jywU(3HY_6R&K`j$k0=SDz3M9qTRcvoP`p}pcH8#X zKJv%qVev{7->8&L+sEO_4qov(Ozs4{L$F0tzm7y@+~1Er90Isai?^&h8^7>$=+fmz z=@O9~c=jEpuP_M%&FzQDW-k`F{aT49xfB#QcwL?5G4F`O?+GL@^Xtzh3)sAyi1P;@ z`bO;NP1a4{)p?!(kUcpHP#e2C)*5%?I}+Szz(gWdTwMHD>=H1Rk;1WW0n#1%J#Co$ zU%E_LXJ2l;*)Zs!vDqF3R`=1_ce7gzqJA6bqS0W|d0hP(v&QOFV@zo4;q2Q9Uj#m6 z>|Rh@?i4BP78VYQUAxwvB|w{wZqo?)jeu0QxHB(%c}5BbS|D$2Nol2L5izABg>FhZ zm0lvRfeiiHQm;qSxSamA$1K{{+J!!^jktbDw`0wFtC0p5w-9XSt@A&f;*IQ9>ZWeJ z&Yk4nMq5S+VcQu)@~={dssZPSiHXZN&M`iEyUQHo^$SnM%)isDLweHsPOJCSf*|V8 z>{NI!UMF9Nf%Cn))=1w%kw>wkm#=R4{P5eo6Qdc;+L2+~f;BRHT{Vr2s{5VZKj|FRI{{|z?}`X8FHh>aRXHls)q;I>p`o6#e_3UlERXM( zM~=*p9i2|9?d|W~v}KDDQaZ1E*>lP-(DuQXd7%BsVcbXJHQL`Uae7`GF!nL=bWfN> z{De;4KE`4Wo4rDEfo7JXmhbCK?Q}fW!*_CQcg5 z+I^#Z266$KZ1so9$m$nHjupul3ys)JgW1B38>Ti#e)|1@VYg-4qi*dq`=QerRNB6Q zfNQ&X1TZsmNlx*nb1FQqjGnb!N~p}&iZ=V=+K~B00J&ZP$K@0Yt2vurMc6wS<^ep< zBG3S=^_2_Gll^LPGLudm1F}D^vRxf@i%?x?5E5hxI@S*aiP)6UD`2)EE-$FUh%^zH zBxRz}3aWs^bsX((#wmQkXRWrYtQU!q>r+VIA@R|7>uq6hWlHf(BtZ}bs~fPz0I&-3 za7eF-g5kM7?Tqx*AD`dh%FP-1a)X3}w)D-lAz}a}PXnkS!wf=94dAi$@@3?+cZ-l% zhw+TbY8Qx85+hg{%r}xce|8A2lSEA0i1GyVRE%bUN6;wQX3dR(+YJz%PRvswH80R9 zLC4I1njiroT$$rq{QCjng6XJ+K>&~OPgKOya4<~5cJ3jkElb1RR<&|ci?X(iZ>ykRt#Q7D6ls4D0IYMOI z2Kxi+GqEKn_@3yX3m_cRvDaNacjB{AjT#?d=E3Xz^`o`H{neqj?X@zsvpHhpPJo!8 z-`tSYV9<5w4VnZI@NZ!$wdHiLX4pEHfw^)cJ9|Kl1>qY?zbl!9?aGQTX*_COA;JiO z4C*}(FRve~ttJ``i}qdD3_=0(7Ol85kDWCG79-PgX7Bd3~t$Hr2;p z=DU_*{QAake!{@U#cVs|KHTH1gP!)dx_UoWFH)hdPRjN>&Lak%7z7hZCx%c>9*dO`3^b&50o$d&{{E>M-QgoQ z-3?Owk_k&^bsl$)kg>PO9fbUB)uNM=m2G>;@lwu{z?m{k)%qjlp?4iQl}+=J%-4J%vK$ z4lh4)^GKESO!cI(*;efIx|grfIhQ5jYb(gCW zqUUP(;_nMKXYlFkJ$NPKxOTj3&F7*0e%7IV6T0dZbFIg^5)U&*d8;`ZDTej;TJ%xt zJV&?|qnz({=PiW%q=wKujTw$a>+X$c7w1#xk2g5X`(cY$)T5%5H!bah4ilc1G)@3( zB_zBBteI5Q=Ie_2zm$!NgYF7Q*|t`)zgf6r@@pyk%U4w#-AJnj&fZ$P9=aD_e~idh zzg!3xOma9Nps#nm%92PQZ<_18^CI8bEgxR9 zmMi_#J?f^o2N#(?F-A4{G+C#O#g>^R|BgK;Dk5;KX_Dnw$dU*n?^VrUy;}_w7rTHE zOO{pG>MbkERjclf(9!;ZRX~Y?;HVQP^Y1!dYFS2^E?q-@;zEA3blfQ@B&5%S4IXrD zZ3#`yzi)Z_whW8StX=L!dpO&>6n^i?v}@d{KR8Wa+AF&12mhSsKRoC$kC)L35 zTV$$JD^p+aS7y>Ci;T{eg^m-`!4Vn1B2W2l;C!k&uxq*w{<#>=^_;u*e|i_$luBXV zniD%eQ5BMNXDvV>(=0>E=PNpk>YcWV7FBj4ce(OgsT@*K0p|kW0ra@Z#{OEMdv|7O z=#R6ajQ0v}E$9ejmfGAa>!LWn_AtAB!Ox!ex?bUVxuyr9rIsJevkY!?eXw*yuv-^} zHoBHeMC3k3@#gP{X8COZDw83Yt-+o|CaVT4?h4g6ykhHJ(ls_XEF_r(v5Nm)vm=(} z+V6O!hTQUN5{6u!E4gRp2Gk{LU}$Jh&GWQ7;NE!($ZF zDZTjE@#fzG>y8}YII2WDhz%T`U?)WdWHo{+p(qMnp%UUNfCdpR2&2P@ zkNR1<;V!G>9Uuq~;gK;C= zL{v33xR%V7JKsgwE_j0;n4SdkK_Us=V4eX6xh1vfuY;KIvy#&NXO`RlT)mA+G&4Gy z4W;+VlP6oYY`Gs5wN^paf4;tS z(t`r%@~U7OAR%`%_VII$IEK$dNYr`E6s`Tou$WS5Rmv4->I8q`fXapcskN~RXA4_v zba6iUZfl3hKkwA$V)jOXt#p`!*5}vj}4I6lhurjyRg!t8Iou)z=iY>G@OH44Qs$Fgz=J`m>AE+ zYaWwPon!DRY+`3`7g@_&f6K~9M=4V7|KF-QVUJT9f8qdg5D-(xLy~Z?6q=JTD**10 z|G=Hckg-$<_J@onaGV#zE1;~Y$%HwOBr{`{sv9oSP*TB!5wxeO58C!;CM3eA9u^@o zfnqM*(fc_PznMVH>7ShZ|(A)3|Wtc?YYDFu#l)q{;(d-tYC2J|q zwV3sH?i*dvB{6L!Uul)Y=Ghjr0!!a~7Wr)iK$<>W2lN=nFDO`)uYf zD!DbY9rs%x&*1n-+xURstA3VM`ivzKQ@lx#ip?iSDyGL8vF*Qn^|{o(L-gx!j_26j^bD>)aErmtFa zV1|N!qSWTia?>|oWy)nZ_4aT}{+YX1F_sXjGD?jziaQ`E5YLm$C3%250Ej!D@!wtm zdp$Qd2WkZib4kkuzvEj;rE}itFCb=k!8Frwf2|$&?O%>3xKH1(-Vqa>f74JcL-1c$ z)N_BTMrX4Im-ppNo49X^D_NMm)RNEm39A=_liFSL5|YC0o?l~jsQ&XK9v3V5lpc^+ zT8!!FM2UHhgMPf9!G99_vFZh8$EM77&i$DLwob0n^$&Rxc<2_>j}q*9_hlB}$j>_k z{<#P?stSyEmV!IJy}KFmx#NG-bIu=mr9?iZpJWnmSa12C-u}N{u7ClW99Xb*{cVZI%qRVmq{v|o35trU0t;OV zvB<#i%abK0E%%Cq!nCj89-w=@Xtbq*kd$XkIx0r`uu3iZD80M;a`-_>1iyK`~~{beQxat8O&&D?_~_D>PxZq!MDbr#K0gc z$6tI>#;Hx}4qhf52q6;VV6Qu9C@GhJ<0X+*;the7=^qnY&cBV$!x8k?uFqK;tL-+q4{X~c2cWJ-2da{9xG*Y@ICwbh*qfyl>O z#ptyc(YBB{Io67~`aWkH?ITtrUk;aN?+4D}mrTe$_>Y%1-U2|QDaEDTHpe4JIV+;e z^;4|917qE8LhCVb3UzyV4{}HzsDDj^8&Ui@O7(djIr`38Fvx-=}#Sn|zh z*mu~`b_I@&a|P6&C(KS;7P}tFSuFj>7ps=x+7o9?=%nsxa<=}Pp7W0;a^?>n{r_}h zfwe71B!0&ubucS|t&dk(rcyJI8m!e2o!9YP2l{F9lqu}w15UY=^xy8$aEg?<|4@E> vP}gVkR^yhSxp=HE|Djy`pU%tl61|W2c0;3@i<0=sOu7?C)s@l@o8J6iDyJyH literal 0 HcmV?d00001 diff --git a/electronics/zdroj-soucastky.txt b/electronics/zdroj-soucastky.txt deleted file mode 100644 index 8d6124d..0000000 --- a/electronics/zdroj-soucastky.txt +++ /dev/null @@ -1,7 +0,0 @@ -svorky: -1x zem -2x zaporna -3x kladna -(1x standby - USB) - -1x vypinac -- 2.30.2